diff --git a/Makefile b/Makefile index fb1afa11e..1b78cde2e 100644 --- a/Makefile +++ b/Makefile @@ -10,11 +10,13 @@ test_top_level = core_tb tests = alu scoreboard fifo mem_arbiter store_queue # path to agents agents = tb/agents/fu_if/fu_if.sv tb/agents/fu_if/fu_if_agent_pkg.sv \ - include/ariane_pkg.svh tb/agents/scoreboard_if/scoreboard_if.sv tb/agents/scoreboard_if/scoreboard_if_agent_pkg.sv tb/common/eth_tb_pkg.sv + include/ariane_pkg.svh tb/agents/scoreboard_if/scoreboard_if_agent_pkg.sv tb/common/eth_tb_pkg.sv +# uvm-scaffold/tmp/agent/mem_if/mem_if_agent_pkg.sv -interfaces = include/debug_if.svh include/mem_if.svh tb/agents/fifo_if/fifo_if.sv tb/agents/store_queue_if/store_queue_if.sv +interfaces = include/debug_if.svh include/mem_if.svh tb/agents/fifo_if/fifo_if.sv \ + tb/agents/store_queue_if/store_queue_if.sv tb/agents/scoreboard_if/scoreboard_if.sv # this list contains the standalone components -src = alu.sv tb/sequences/alu_sequence_pkg.sv tb/env/alu_env_pkg.sv tb/test/alu_lib_pkg.sv \ +src = alu.sv tb/sequences/alu/alu_sequence_pkg.sv tb/env/alu/alu_env_pkg.sv tb/test/alu/alu_lib_pkg.sv \ ptw.sv tlb.sv store_queue.sv \ if_stage.sv compressed_decoder.sv fetch_fifo.sv commit_stage.sv prefetch_buffer.sv \ mmu.sv lsu.sv fifo.sv tb/fifo_tb.sv mem_arbiter.sv \ diff --git a/tb/env/alu_env.svh b/tb/env/alu/alu_env.svh similarity index 98% rename from tb/env/alu_env.svh rename to tb/env/alu/alu_env.svh index 100c2b44f..d6dd844a2 100644 --- a/tb/env/alu_env.svh +++ b/tb/env/alu/alu_env.svh @@ -30,7 +30,7 @@ class alu_env extends uvm_env; `uvm_fatal("CONFIG_LOAD", "Cannot get() configuration alu_env_config from uvm_config_db. Have you set() it?") // Conditional instantiation goes here - // Create agent configuratio + // Create agent configuration uvm_config_db #(fu_if_agent_config)::set(this, "m_fu_if_agent*", "fu_if_agent_config", m_cfg.m_fu_if_agent_config); diff --git a/tb/env/alu_env_config.svh b/tb/env/alu/alu_env_config.svh similarity index 100% rename from tb/env/alu_env_config.svh rename to tb/env/alu/alu_env_config.svh diff --git a/tb/env/alu_env_pkg.sv b/tb/env/alu/alu_env_pkg.sv similarity index 100% rename from tb/env/alu_env_pkg.sv rename to tb/env/alu/alu_env_pkg.sv diff --git a/tb/env/alu_scoreboard.svh b/tb/env/alu/alu_scoreboard.svh similarity index 100% rename from tb/env/alu_scoreboard.svh rename to tb/env/alu/alu_scoreboard.svh diff --git a/tb/sequences/add_sequence.svh b/tb/sequences/alu/add_sequence.svh similarity index 100% rename from tb/sequences/add_sequence.svh rename to tb/sequences/alu/add_sequence.svh diff --git a/tb/sequences/addw_sequence.svh b/tb/sequences/alu/addw_sequence.svh similarity index 100% rename from tb/sequences/addw_sequence.svh rename to tb/sequences/alu/addw_sequence.svh diff --git a/tb/sequences/alu_sequence_pkg.sv b/tb/sequences/alu/alu_sequence_pkg.sv similarity index 100% rename from tb/sequences/alu_sequence_pkg.sv rename to tb/sequences/alu/alu_sequence_pkg.sv diff --git a/tb/sequences/and_sequence.svh b/tb/sequences/alu/and_sequence.svh similarity index 100% rename from tb/sequences/and_sequence.svh rename to tb/sequences/alu/and_sequence.svh diff --git a/tb/sequences/basic_sequence.svh b/tb/sequences/alu/basic_sequence.svh similarity index 100% rename from tb/sequences/basic_sequence.svh rename to tb/sequences/alu/basic_sequence.svh diff --git a/tb/sequences/fibonacci_sequence.svh b/tb/sequences/alu/fibonacci_sequence.svh similarity index 100% rename from tb/sequences/fibonacci_sequence.svh rename to tb/sequences/alu/fibonacci_sequence.svh diff --git a/tb/sequences/or_sequence.svh b/tb/sequences/alu/or_sequence.svh similarity index 100% rename from tb/sequences/or_sequence.svh rename to tb/sequences/alu/or_sequence.svh diff --git a/tb/sequences/reset_sequence.svh b/tb/sequences/alu/reset_sequence.svh similarity index 100% rename from tb/sequences/reset_sequence.svh rename to tb/sequences/alu/reset_sequence.svh diff --git a/tb/sequences/sll_sequence.svh b/tb/sequences/alu/sll_sequence.svh similarity index 100% rename from tb/sequences/sll_sequence.svh rename to tb/sequences/alu/sll_sequence.svh diff --git a/tb/sequences/sllw_sequence.svh b/tb/sequences/alu/sllw_sequence.svh similarity index 100% rename from tb/sequences/sllw_sequence.svh rename to tb/sequences/alu/sllw_sequence.svh diff --git a/tb/sequences/sra_sequence.svh b/tb/sequences/alu/sra_sequence.svh similarity index 100% rename from tb/sequences/sra_sequence.svh rename to tb/sequences/alu/sra_sequence.svh diff --git a/tb/sequences/sraw_sequence.svh b/tb/sequences/alu/sraw_sequence.svh similarity index 100% rename from tb/sequences/sraw_sequence.svh rename to tb/sequences/alu/sraw_sequence.svh diff --git a/tb/sequences/srl_sequence.svh b/tb/sequences/alu/srl_sequence.svh similarity index 100% rename from tb/sequences/srl_sequence.svh rename to tb/sequences/alu/srl_sequence.svh diff --git a/tb/sequences/srlw_sequence.svh b/tb/sequences/alu/srlw_sequence.svh similarity index 100% rename from tb/sequences/srlw_sequence.svh rename to tb/sequences/alu/srlw_sequence.svh diff --git a/tb/sequences/sub_sequence.svh b/tb/sequences/alu/sub_sequence.svh similarity index 100% rename from tb/sequences/sub_sequence.svh rename to tb/sequences/alu/sub_sequence.svh diff --git a/tb/sequences/subw_sequence.svh b/tb/sequences/alu/subw_sequence.svh similarity index 100% rename from tb/sequences/subw_sequence.svh rename to tb/sequences/alu/subw_sequence.svh diff --git a/tb/sequences/xor_sequence.svh b/tb/sequences/alu/xor_sequence.svh similarity index 100% rename from tb/sequences/xor_sequence.svh rename to tb/sequences/alu/xor_sequence.svh diff --git a/tb/test/alu_lib_pkg.sv b/tb/test/alu/alu_lib_pkg.sv similarity index 100% rename from tb/test/alu_lib_pkg.sv rename to tb/test/alu/alu_lib_pkg.sv diff --git a/tb/test/alu_test.svh b/tb/test/alu/alu_test.svh similarity index 100% rename from tb/test/alu_test.svh rename to tb/test/alu/alu_test.svh diff --git a/tb/test/alu_test_base.svh b/tb/test/alu/alu_test_base.svh similarity index 100% rename from tb/test/alu_test_base.svh rename to tb/test/alu/alu_test_base.svh diff --git a/tb/test/scoreboard_lib_pkg.sv b/tb/test/scoreboard/scoreboard_lib_pkg.sv old mode 100755 new mode 100644 similarity index 100% rename from tb/test/scoreboard_lib_pkg.sv rename to tb/test/scoreboard/scoreboard_lib_pkg.sv