diff --git a/src/util/instruction_tracer_pkg.sv b/src/util/instruction_tracer_pkg.sv index afd84ca08..a088e0be4 100755 --- a/src/util/instruction_tracer_pkg.sv +++ b/src/util/instruction_tracer_pkg.sv @@ -18,10 +18,12 @@ // package instruction_tracer_pkg; import ariane_pkg::*; + `ifndef SYNTHESIS import uvm_pkg::*; `include "uvm_macros.svh" `include "instruction_tracer_defines.svh" `include "instruction_trace_item.svh" `include "exception_trace_item.svh" `include "instruction_tracer.svh" -endpackage \ No newline at end of file + `endif +endpackage