diff --git a/Makefile b/Makefile index 450743363..b78015d28 100644 --- a/Makefile +++ b/Makefile @@ -124,7 +124,7 @@ simc: build run-asm-tests: build $(foreach test, $(riscv-tests), vsim$(questa_version) +BASEDIR=$(riscv-test-dir) +max-cycles=$(max_cycles) \ - +UVM_TESTNAME=$(test_case) +UVM_VERBOSITY=LOW $(uvm-flags) +ASMTEST=$(test) +uvm_set_action="*,_ALL_,UVM_ERROR,UVM_DISPLAY|UVM_STOP" -c +UVM_VERBOSITY=LOW\ + +UVM_TESTNAME=$(test_case) $(uvm-flags) +ASMTEST=$(test) +uvm_set_action="*,_ALL_,UVM_ERROR,UVM_DISPLAY|UVM_STOP" -c \ -coverage -classdebug -do "coverage save -onexit $@.ucdb; run -a; quit -code [coverage attribute -name TESTSTATUS -concise]" \ $(library).$(test_top_level)_optimized;) diff --git a/tb/core_tb.sv b/tb/core_tb.sv index 1279c3203..348261ed5 100644 --- a/tb/core_tb.sv +++ b/tb/core_tb.sv @@ -24,6 +24,7 @@ import core_lib_pkg::*; `define DRAM_BASE 64'h80000000 +`include "uvm_macros.svh" module core_tb; import "DPI-C" function chandle read_elf(string fn); @@ -230,7 +231,7 @@ module core_tb; file = {base_dir, "/", file_name}; - $display("Pre-loading memory from file: %s\n", file); + `uvm_info("Program Loader", $sformatf("Pre-loading memory from file: %s\n", file), UVM_LOW); // read elf file (DPI call) void'(read_elf(file));