From 13beda7d0cc4bd001ff02db5b318ffdc4c70ee9c Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Sun, 18 Dec 2022 14:00:10 -0600 Subject: [PATCH] Updated vcu118 piniout. --- fpga/constraints/constraints-vcu118.xdc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/fpga/constraints/constraints-vcu118.xdc b/fpga/constraints/constraints-vcu118.xdc index b21b1cc51..3bd9db8b3 100644 --- a/fpga/constraints/constraints-vcu118.xdc +++ b/fpga/constraints/constraints-vcu118.xdc @@ -36,9 +36,9 @@ set_output_delay -clock [get_clocks mmcm_clkout1] -max -add_delay 0.000 [get_por ##### UART ##### #set_property PACKAGE_PIN AW25 [get_ports UARTSin] -set_property PACKAGE_PIN R29 [get_ports UARTSin] +set_property PACKAGE_PIN L31 [get_ports UARTSin] #set_property PACKAGE_PIN BB21 [get_ports UARTSout] -set_property PACKAGE_PIN M31 [get_ports UARTSout] +set_property PACKAGE_PIN P29 [get_ports UARTSout] set_max_delay -from [get_ports UARTSin] 10.000 set_max_delay -to [get_ports UARTSout] 10.000 set_property IOSTANDARD LVCMOS12 [get_ports UARTSin]