From 079d973969cef331b276a76a647f1c9a9591a657 Mon Sep 17 00:00:00 2001 From: Olof Kindgren Date: Wed, 21 Nov 2018 13:22:55 +0100 Subject: [PATCH] Cleanup --- bench/serv_alu_tb.v | 70 - bench/serv_ctrl_tb.v | 86 - bench/serv_decode_tb.v | 55 - data/dummy.pcf | 0 firmware.hex | 16384 --------------------------------------- hellomin.hex | 2048 ----- rtl/camd_ram.v | 55 - serv.core | 5 +- serv_top_tb.v | 45 - testhalt.v | 21 - testprint.v | 46 - 11 files changed, 1 insertion(+), 18814 deletions(-) delete mode 100644 bench/serv_alu_tb.v delete mode 100644 bench/serv_ctrl_tb.v delete mode 100644 bench/serv_decode_tb.v delete mode 100644 data/dummy.pcf delete mode 100644 firmware.hex delete mode 100644 hellomin.hex delete mode 100644 rtl/camd_ram.v delete mode 100644 serv_top_tb.v delete mode 100644 testhalt.v delete mode 100644 testprint.v diff --git a/bench/serv_alu_tb.v b/bench/serv_alu_tb.v deleted file mode 100644 index a29e69d..0000000 --- a/bench/serv_alu_tb.v +++ /dev/null @@ -1,70 +0,0 @@ -`default_nettype none -module serv_alu_tb; - reg clk = 1'b1; - - reg go; - reg instr; - reg jal; - - wire [31:0] pc_data; - wire pc_valid; - reg pc_ready = 1'b1; - - wire rd; - wire rd_valid; - - wire done; - - reg reg11; - reg [8:0] reg2012; - - wire reg2012_en; - - always #5 clk <= !clk; - - vlog_tb_utils vtu(); - - serv_ctrl dut - ( - .clk (clk), - .i_go (go), - .i_instr (instr), - .i_jal (jal), - .i_reg11 (reg11), - .i_reg2012 (reg2012[0]), - .o_reg2012_en (reg2012_en), - .o_rd (rd), - .o_rd_valid (rd_valid), - .o_pc_data (pc_data), - .o_pc_valid (pc_valid), - .i_pc_ready (pc_ready)); - - reg [31:0] instruction; - integer idx; - - initial begin - instruction = 32'h3d80006f; - reg11 = instruction[20]; - reg2012 = {instruction[31],instruction[19:12]}; - for (idx=0;idx < 31;idx=idx+1) begin - go <= (idx == 19); //Check this - instr <= instruction[idx]; - jal <= (idx > 7); - if (reg2012_en) reg2012 <= (reg2012 >> 1); - @(posedge clk); - end - while (!done) - @(posedge clk); - end // initial begin - - reg [31:0] rd_word; - - always @(posedge clk) begin - if (rd_valid) - rd_word = {rd, rd_word[31:1]}; - if (pc_valid & pc_ready) begin - $display("New PC is %08x", pc_data); - $display("RD is %08x", rd_word); - end - end -endmodule diff --git a/bench/serv_ctrl_tb.v b/bench/serv_ctrl_tb.v deleted file mode 100644 index 3800aeb..0000000 --- a/bench/serv_ctrl_tb.v +++ /dev/null @@ -1,86 +0,0 @@ -`default_nettype none -module serv_ctrl_tb; - reg clk = 1'b1; - - reg go; - wire en; - wire jump; - - wire [31:0] pc_data; - wire pc_valid; - reg pc_ready = 1'b1; - - wire rd; - wire rd_valid; - - wire done; - - reg reg11; - reg [8:0] reg2012; - - wire reg2012_en; - - always #5 clk <= !clk; - - vlog_tb_utils vtu(); - - serv_decode decode - ( - .clk (clk), - .i_go (go), - .i_instr (instruction), - .o_ctrl_jump (jump), - .o_ctrl_en (en), - .o_imm (offset), - .o_rd_from_ctrl ()); - - serv_ctrl - #(.RESET_PC (32'h464)) - dut - ( - .clk (clk), - .i_en (en), - .i_jump (jump), - .i_offset (offset), - .o_rd (rd), - .o_i_dat (pc_data), - .o_pc_valid (pc_valid), - .i_pc_ready (pc_ready)); - - reg [31:0] instruction; - integer idx; - - reg [20:0] offset; - - initial begin - instruction = 32'h3d80006f; - //instruction = 32'h0080706f; - offset = {instruction[31], - instruction[19:12], - instruction[20], - instruction[30:21],1'b0}; - - $display("Reconstructured offset %08x", offset); - en <= 1'b1; - for (idx=0;idx < 31;idx=idx+1) begin - go <= (idx == 20); //Check this - instr <= instruction[idx]; - jal <= (idx > 7); - if (reg2012_en) reg2012 <= (reg2012 >> 1); - @(posedge clk); - end - while (!done) - @(posedge clk); - end // initial begin - - reg [31:0] rd_word; - - always @(posedge clk) begin - if (rd_valid) - rd_word = {rd, rd_word[31:1]}; - if (pc_valid & pc_ready) begin - $display("New PC is %08x", pc_data); - $display("RD is %08x", rd_word); - end - end -endmodule diff --git a/bench/serv_decode_tb.v b/bench/serv_decode_tb.v deleted file mode 100644 index 8189999..0000000 --- a/bench/serv_decode_tb.v +++ /dev/null @@ -1,55 +0,0 @@ -`default_nettype none -module serv_decode_tb; - reg clk = 1'b1; - - reg [31:0] i_rd_dat = 32'd0; - reg i_rd_vld = 1'b0; - wire i_rd_rdy; - - wire ctrl_en; - wire ctrl_jump; - wire [4:0] rd_addr; - wire [4:0] rs1_addr; - wire [4:0] rs2_addr; - wire imm; - wire offset_source; - wire [1:0] rd_source; - - reg [31:0] tb_imm; - - always #5 clk <= !clk; - - vlog_tb_utils vtu(); - - serv_decode decode - ( - .clk (clk), - .i_i_rd_dat (i_rd_dat), - .i_i_rd_vld (i_rd_vld), - .o_i_rd_rdy (i_rd_rdy), - .o_ctrl_en (ctrl_en), - .o_ctrl_jump (ctrl_jump), - .o_rf_rd_addr (rd_addr), - .o_rf_rs1_addr (rs1_addr), - .o_rf_rs2_addr (rs2_addr), - .o_imm (imm), - .o_offset_source (offset_source), - .o_rd_source (rd_source)); - - initial begin - @(posedge clk); - i_rd_dat <= 32'h3d80006f; - i_rd_vld <= 1'b1; - @(posedge clk); - @(posedge i_rd_rdy); - @(posedge clk); - $display("imm = %08x", tb_imm); - - $finish; - end - always @(posedge clk) begin - if (ctrl_en) - tb_imm <= {imm, tb_imm[31:1]}; - end - -endmodule diff --git a/data/dummy.pcf b/data/dummy.pcf deleted file mode 100644 index e69de29..0000000 diff --git a/firmware.hex b/firmware.hex deleted file mode 100644 index b2484b6..0000000 --- a/firmware.hex +++ /dev/null @@ -1,16384 +0,0 @@ -0800400b -0600600b -3d80006f -00000013 -0200a10b -0201218b -000000b7 -16008093 -0000410b -0020a023 -0001410b -0020a223 -0001c10b -0020a423 -0030a623 -0040a823 -0050aa23 -0060ac23 -0070ae23 -0280a023 -0290a223 -02a0a423 -02b0a623 -02c0a823 -02d0aa23 -02e0ac23 -02f0ae23 -0500a023 -0510a223 -0520a423 -0530a623 -0540a823 -0550aa23 -0560ac23 -0570ae23 -0780a023 -0790a223 -07a0a423 -07b0a623 -07c0a823 -07d0aa23 -07e0ac23 -07f0ae23 -00000137 -3e010113 -00000537 -16050513 -0000c58b -5b8000ef -00050093 -0000a103 -0201200b -0040a103 -0201208b -0080a103 -0201210b -00c0a183 -0100a203 -0140a283 -0180a303 -01c0a383 -0200a403 -0240a483 -0280a503 -02c0a583 -0300a603 -0340a683 -0380a703 -03c0a783 -0400a803 -0440a883 -0480a903 -04c0a983 -0500aa03 -0540aa83 -0580ab03 -05c0ab83 -0600ac03 -0640ac83 -0680ad03 -06c0ad83 -0700ae03 -0740ae83 -0780af03 -07c0af83 -0000c08b -0001410b -0400000b -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000093 -00000113 -00000193 -00000213 -00000293 -00000313 -00000393 -00000413 -00000493 -00000513 -00000593 -00000613 -00000693 -00000713 -00000793 -00000813 -00000893 -00000913 -00000993 -00000a13 -00000a93 -00000b13 -00000b93 -00000c13 -00000c93 -00000d13 -00000d93 -00000e13 -00000e93 -00000f13 -00000f93 -3e800093 -0a00e00b -7850506f -3e800093 -0a00e00b -0390406f -3e800093 -0a00e00b -1100806f -3e800093 -0a00e00b -10c0706f -3e800093 -0a00e00b -0e10406f -3e800093 -0a00e00b -1a50306f -3e800093 -0a00e00b -19c0806f -3e800093 -0a00e00b -0750806f -3e800093 -0a00e00b -4c80806f -3e800093 -0a00e00b -2f00306f -3e800093 -0a00e00b -21d0406f -3e800093 -0a00e00b -6710106f -3e800093 -0a00e00b -1300206f -3e800093 -0a00e00b -5d10406f -3e800093 -0a00e00b -1110506f -3e800093 -0a00e00b -3d10506f -3e800093 -0a00e00b -6fc0706f -3e800093 -0a00e00b -3650706f -3e800093 -0a00e00b -2640406f -3e800093 -0a00e00b -5f00306f -3e800093 -0a00e00b -0880506f -3e800093 -0a00e00b -3cd0006f -3e800093 -0a00e00b -2950606f -3e800093 -0a00e00b -0450606f -3e800093 -0a00e00b -5300606f -3e800093 -0a00e00b -4cd0606f -3e800093 -0a00e00b -6e90206f -3e800093 -0a00e00b -1180706f -3e800093 -0a00e00b -0650106f -3e800093 -0a00e00b -7090506f -3e800093 -0a00e00b -3080506f -3e800093 -0a00e00b -3000106f -3e800093 -0a00e00b -32c0206f -3e800093 -0a00e00b -3950306f -3e800093 -0a00e00b -5990006f -3e800093 -0a00e00b -1450206f -3e800093 -0a00e00b -0580706f -00010137 -deadc1b7 -eef18193 -00018213 -5f4000ef -23d000ef -10000537 -04400593 -04f00613 -04e00693 -04500713 -00a00793 -00b52023 -00c52023 -00d52023 -00e52023 -00f52023 -20000537 -075bd5b7 -d1558593 -00b52023 -00100073 -00000000 -00000000 -fb010113 -04912223 -05212023 -03312e23 -04112623 -04812423 -03412c23 -03512a23 -03612823 -03712623 -03812423 -03912223 -03a12023 -01b12e23 -0065f493 -00050913 -00058993 -08048863 -00052703 -00177693 -ffc70793 -00068463 -ffd70793 -0007d403 -00300713 -00347613 -00e61863 -0027d783 -01079793 -00f46433 -00347a13 -ffda0793 -00f037b3 -04d78863 -00009537 -1d450513 -354000ef -00092503 -00800593 -3e4000ef -00009537 -21050513 -33c000ef -00300793 -00800593 -00fa0463 -00400593 -00040513 -3c0000ef -00009537 -25850513 -318000ef -00100073 -0109f793 -00078a63 -00009737 -33c72783 -00178793 -32f72e23 -0209f793 -00078a63 -00009737 -33872783 -00178793 -32f72c23 -0019f793 -00078a63 -00009737 -33472783 -00178793 -32f72a23 -1c048463 -00092783 -0017f713 -ffc78a13 -00070463 -ffd78a13 -000a5483 -00300793 -0034f713 -00f71863 -002a5783 -01079793 -00f4e4b3 -00009437 -25840513 -28c000ef -00009ab7 -21ca8513 -280000ef -0029f793 -02078e63 -001007b7 -07378793 -00f48863 -000097b7 -00278793 -1af49063 -00009537 -25c50513 -254000ef -00800593 -000a0513 -2e4000ef -25840513 -240000ef -0049f993 -04098663 -00009537 -29c50513 -22c000ef -00800593 -000a0513 -2bc000ef -00009537 -29450513 -214000ef -0034f793 -00300713 -00400593 -00e79463 -00800593 -00048513 -294000ef -25840513 -1f0000ef -00009bb7 -00000a13 -00900c93 -01300d13 -00009db7 -1ccb8b93 -002a1993 -25840793 -000a0493 -013909b3 -00000b13 -01d00c13 -00f12623 -12049c63 -2bcd8513 -1b0000ef -0009a503 -00800593 -240000ef -00300793 -000b8513 -00fb1463 -00c12503 -190000ef -001b0b13 -00400793 -00848493 -02098993 -fcfb12e3 -001a0a13 -00800793 -f8fa1ee3 -21ca8513 -168000ef -00009537 -2c450513 -15c000ef -000097b7 -33c7a503 -16c000ef -25840513 -148000ef -00009537 -2ec50513 -13c000ef -000097b7 -3387a503 -14c000ef -25840513 -128000ef -00009537 -31450513 -11c000ef -000097b7 -3347a503 -12c000ef -25840513 -108000ef -00100073 -04c12083 -04812403 -00090513 -04412483 -04012903 -03c12983 -03812a03 -03412a83 -03012b03 -02c12b83 -02812c03 -02412c83 -02012d03 -01c12d83 -05010113 -00008067 -00009537 -27850513 -0b8000ef -00800593 -000a0513 -148000ef -00009537 -29450513 -0a0000ef -0034f793 -00300713 -00400593 -00e79463 -00800593 -00048513 -e3dff06f -07800513 -029cc463 -06c000ef -03048513 -0ff57513 -060000ef -02000513 -058000ef -02000513 -050000ef -eadff06f -009d4e63 -044000ef -03100513 -03c000ef -02648513 -0ff57513 -fd9ff06f -009c4c63 -028000ef -03200513 -020000ef -01c48513 -fe5ff06f -014000ef -03300513 -00c000ef -01248513 -fd1ff06f -100007b7 -00a7a023 -00008067 -10000737 -00054783 -00079463 -00008067 -00150513 -00f72023 -fedff06f -fe010113 -00812c23 -00410413 -00912a23 -01212823 -00112e23 -00050493 -00040913 -02049c63 -03240a63 -10000737 -fff40413 -00044783 -03078793 -00f72023 -ff2418e3 -01c12083 -01812403 -01412483 -01012903 -02010113 -00008067 -00a00593 -00048513 -690080ef -00140413 -fea40fa3 -00a00593 -00048513 -634080ef -00050493 -fa5ff06f -fff58593 -00009737 -00259593 -35470713 -100006b7 -0005d463 -00008067 -00b557b3 -00f7f793 -00e787b3 -0007c783 -ffc58593 -00f6a023 -fe1ff06f -ff010113 -00812423 -00912223 -00112623 -00900793 -00050413 -00058493 -00a7c863 -00009537 -36850513 -f05ff0ef -00040513 -f19ff0ef -ff640793 -00900713 -0af77263 -00a00593 -00040513 -624080ef -00200793 -06f50c63 -00300793 -06f50e63 -00100793 -08f51063 -00009537 -36c50513 -ec1ff0ef -00009537 -37c50513 -eb5ff0ef -00048513 -ec9ff0ef -00009537 -38850513 -ea1ff0ef -00009737 -3a872783 -00c12083 -00579513 -00f50533 -00854533 -00551793 -00812403 -00a78533 -00954533 -3aa72423 -00412483 -01010113 -00008067 -00009537 -37050513 -f9dff06f -00009537 -37450513 -f91ff06f -00009537 -37850513 -f85ff06f -fd010113 -000017b7 -02912223 -50578793 -00100513 -000094b7 -00200593 -02812423 -03212023 -01412c23 -01512a23 -01612823 -02112623 -01312e23 -01712623 -3af4a423 -00009937 -ed1ff0ef -80000a37 -00000413 -00200513 -3ac90913 -00100a93 -03f00b13 -01fa0a13 -40545713 -00271713 -01270733 -00072703 -008a97b3 -00e7f7b3 -06079a63 -00141993 -00398993 -00098593 -00150b93 -e85ff0ef -00241693 -00668693 -0016f793 -04078263 -ffd68713 -40175793 -04fb4063 -40675713 -00271713 -0147f7b3 -00e90633 -0007d863 -fff78793 -fe07e793 -00178793 -00e90733 -00072703 -00fa97b3 -00e7e7b3 -00f62023 -013686b3 -fb5ff06f -000b8513 -00140413 -04000793 -f6f416e3 -00009537 -38c50513 -d3dff0ef -3a84a503 -00800593 -dcdff0ef -3a84a703 -1772a7b7 -48f78793 -02f71c63 -02812403 -02c12083 -02412483 -02012903 -01c12983 -01812a03 -01412a83 -01012b03 -00c12b83 -00009537 -39850513 -03010113 -cedff06f -00009537 -3a050513 -ce1ff0ef -00100073 -02c12083 -02812403 -02412483 -02012903 -01c12983 -01812a03 -01412a83 -01012b03 -00c12b83 -03010113 -00008067 -00009737 -3d872503 -00d51793 -00a7c7b3 -0117d513 -00f547b3 -00579513 -00f54533 -3ca72c23 -00008067 -fc010113 -03212823 -03312623 -03612023 -01712e23 -01812c23 -01912a23 -01a12823 -01b12623 -02112e23 -02812c23 -02912a23 -03412423 -03512223 -00a00993 -00009db7 -00009b37 -00009d37 -00009cb7 -00009c37 -00009937 -00009bb7 -f81ff0ef -00050413 -f79ff0ef -00050493 -41f55a93 -3b4d8513 -c15ff0ef -41f45a13 -00800593 -000a0513 -ca1ff0ef -3bcb0513 -bfdff0ef -00800593 -00040513 -c8dff0ef -3c0d0513 -be9ff0ef -00800593 -000a8513 -c79ff0ef -3bcb0513 -bd5ff0ef -00800593 -00048513 -c65ff0ef -00a00513 -bb5ff0ef -3c4c8513 -bb9ff0ef -3ccc0513 -bb1ff0ef -00048593 -00040513 -1b4080ef -00800593 -c39ff0ef -3d490513 -b95ff0ef -00048613 -000a8693 -00040513 -000a0593 -1b4080ef -00058513 -00800593 -c11ff0ef -3d490513 -b6dff0ef -00048613 -00000693 -00040513 -000a0593 -18c080ef -00058513 -00800593 -be9ff0ef -3d490513 -b45ff0ef -00048613 -00000693 -00040513 -00000593 -164080ef -00058513 -00800593 -bc1ff0ef -3d490513 -b1dff0ef -398b8513 -fff98993 -b11ff0ef -ee0990e3 -03c12083 -03812403 -03412483 -03012903 -02c12983 -02812a03 -02412a83 -02012b03 -01c12b83 -01812c03 -01412c83 -01012d03 -00c12d83 -04010113 -00008067 -fc010113 -03312623 -02112e23 -02812c23 -02912a23 -03212823 -03412423 -03512223 -00050993 -03000793 -00061463 -02000793 -00010a13 -0ff7f913 -000a0493 -01458ab3 -00048413 -02099e63 -409a87b3 -06f04063 -02000493 -02e00913 -05441e63 -03c12083 -03812403 -03412483 -03012903 -02c12983 -02812a03 -02412a83 -04010113 -00008067 -00a00593 -00098513 -150080ef -03050513 -00a48023 -00098513 -00a00593 -0f4080ef -00050993 -00148493 -f99ff06f -01248023 -fe5ff06f -fff44783 -00979863 -ffe44783 -00979463 -ff240fa3 -fff40413 -00044503 -9f1ff0ef -f85ff06f -ff010113 -00112623 -00812423 -00912223 -c00024f3 -c0202473 -00009537 -3dc50513 -9d5ff0ef -00000613 -00800593 -00048513 -ef9ff0ef -00009537 -3f450513 -9b9ff0ef -00000613 -00800593 -00040513 -eddff0ef -00009537 -40c50513 -99dff0ef -00040593 -00048513 -054080ef -00000613 -00000593 -eb9ff0ef -00009537 -41450513 -979ff0ef -06400593 -00048513 -77d070ef -00040593 -028080ef -06400593 -068080ef -00100613 -00200593 -e85ff0ef -00812403 -00c12083 -00412483 -00009537 -25850513 -01010113 -935ff06f -00001537 -14c50513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -69726f78 -00000000 -02e00593 -00b62023 -00b62023 -00ff10b7 -f0008093 -f0f0c193 -ff00feb7 -00fe8e93 -00200e13 -1dd19663 -0ff010b7 -ff008093 -0f00c193 -0ff01eb7 -f00e8e93 -00300e13 -1bd19863 -00ff10b7 -8ff08093 -70f0c193 -00ff1eb7 -ff0e8e93 -00400e13 -19d19a63 -f00ff0b7 -00f08093 -0f00c193 -f00ffeb7 -0ffe8e93 -00500e13 -17d19c63 -ff00f0b7 -70008093 -70f0c093 -ff00feb7 -00fe8e93 -00600e13 -15d09e63 -00000213 -0ff010b7 -ff008093 -0f00c193 -00018313 -00120213 -00200293 -fe5214e3 -0ff01eb7 -f00e8e93 -00700e13 -13d31663 -00000213 -00ff10b7 -8ff08093 -70f0c193 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -00ff1eb7 -ff0e8e93 -00800e13 -0fd31c63 -00000213 -f00ff0b7 -00f08093 -0f00c193 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -0ffe8e93 -00900e13 -0dd31063 -00000213 -0ff010b7 -ff008093 -0f00c193 -00120213 -00200293 -fe5216e3 -0ff01eb7 -f00e8e93 -00a00e13 -09d19a63 -00000213 -00ff10b7 -fff08093 -00000013 -00f0c193 -00120213 -00200293 -fe5214e3 -00ff1eb7 -ff0e8e93 -00b00e13 -07d19263 -00000213 -f00ff0b7 -00f08093 -00000013 -00000013 -0f00c193 -00120213 -00200293 -fe5212e3 -f00ffeb7 -0ffe8e93 -00c00e13 -03d19863 -0f004093 -0f000e93 -00d00e13 -03d09063 -00ff00b7 -0ff08093 -70f0c013 -00000e93 -00e00e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -9d4ff06f -00001537 -3b450513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -0000726f -02e00593 -00b62023 -00b62023 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020e1b3 -ff100eb7 -f0fe8e93 -00200e13 -4bd19263 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020e1b3 -fff10eb7 -ff0e8e93 -00300e13 -49d19063 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020e1b3 -0fff1eb7 -fffe8e93 -00400e13 -45d19e63 -f00ff0b7 -00f08093 -f0f0f137 -0f010113 -0020e1b3 -f0fffeb7 -0ffe8e93 -00500e13 -43d19c63 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020e0b3 -ff100eb7 -f0fe8e93 -00600e13 -41d09a63 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020e133 -ff100eb7 -f0fe8e93 -00700e13 -3fd11863 -ff0100b7 -f0008093 -0010e0b3 -ff010eb7 -f00e8e93 -00800e13 -3dd09a63 -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020e1b3 -00018313 -00120213 -00200293 -fe5210e3 -ff100eb7 -f0fe8e93 -00900e13 -39d31e63 -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020e1b3 -00000013 -00018313 -00120213 -00200293 -fc521ee3 -fff10eb7 -ff0e8e93 -00a00e13 -37d31063 -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020e1b3 -00000013 -00000013 -00018313 -00120213 -00200293 -fc521ce3 -0fff1eb7 -fffe8e93 -00b00e13 -33d31063 -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020e1b3 -00120213 -00200293 -fe5212e3 -ff100eb7 -f0fe8e93 -00c00e13 -2fd19663 -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -00000013 -0020e1b3 -00120213 -00200293 -fe5210e3 -fff10eb7 -ff0e8e93 -00d00e13 -2bd19a63 -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -00000013 -00000013 -0020e1b3 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -00e00e13 -27d19c63 -00000213 -ff0100b7 -f0008093 -00000013 -0f0f1137 -f0f10113 -0020e1b3 -00120213 -00200293 -fe5210e3 -ff100eb7 -f0fe8e93 -00f00e13 -25d19063 -00000213 -0ff010b7 -ff008093 -00000013 -f0f0f137 -0f010113 -00000013 -0020e1b3 -00120213 -00200293 -fc521ee3 -fff10eb7 -ff0e8e93 -01000e13 -21d19263 -00000213 -00ff00b7 -0ff08093 -00000013 -00000013 -0f0f1137 -f0f10113 -0020e1b3 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -01100e13 -1dd19463 -00000213 -0f0f1137 -f0f10113 -ff0100b7 -f0008093 -0020e1b3 -00120213 -00200293 -fe5212e3 -ff100eb7 -f0fe8e93 -01200e13 -19d19a63 -00000213 -f0f0f137 -0f010113 -0ff010b7 -ff008093 -00000013 -0020e1b3 -00120213 -00200293 -fe5210e3 -fff10eb7 -ff0e8e93 -01300e13 -15d19e63 -00000213 -0f0f1137 -f0f10113 -00ff00b7 -0ff08093 -00000013 -00000013 -0020e1b3 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -01400e13 -13d19063 -00000213 -0f0f1137 -f0f10113 -00000013 -ff0100b7 -f0008093 -0020e1b3 -00120213 -00200293 -fe5210e3 -ff100eb7 -f0fe8e93 -01500e13 -0fd19463 -00000213 -f0f0f137 -0f010113 -00000013 -0ff010b7 -ff008093 -00000013 -0020e1b3 -00120213 -00200293 -fc521ee3 -fff10eb7 -ff0e8e93 -01600e13 -0bd19663 -00000213 -0f0f1137 -f0f10113 -00000013 -00000013 -00ff00b7 -0ff08093 -0020e1b3 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -01700e13 -07d19863 -ff0100b7 -f0008093 -00106133 -ff010eb7 -f00e8e93 -01800e13 -05d11a63 -00ff00b7 -0ff08093 -0000e133 -00ff0eb7 -0ffe8e93 -01900e13 -03d11c63 -000060b3 -00000e93 -01a00e13 -03d09463 -111110b7 -11108093 -22222137 -22210113 -0020e033 -00000e93 -01b00e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -d2dfe06f -00002537 -8f850513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00726f78 -02e00593 -00b62023 -00b62023 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020c1b3 -f00ffeb7 -00fe8e93 -00200e13 -4bd19063 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020c1b3 -ff010eb7 -f00e8e93 -00300e13 -47d19e63 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020c1b3 -0ff01eb7 -ff0e8e93 -00400e13 -45d19c63 -f00ff0b7 -00f08093 -f0f0f137 -0f010113 -0020c1b3 -00ff0eb7 -0ffe8e93 -00500e13 -43d19a63 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020c0b3 -f00ffeb7 -00fe8e93 -00600e13 -41d09863 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020c133 -f00ffeb7 -00fe8e93 -00700e13 -3fd11663 -ff0100b7 -f0008093 -0010c0b3 -00000e93 -00800e13 -3dd09a63 -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020c1b3 -00018313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -00900e13 -39d31e63 -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020c1b3 -00000013 -00018313 -00120213 -00200293 -fc521ee3 -ff010eb7 -f00e8e93 -00a00e13 -37d31063 -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020c1b3 -00000013 -00000013 -00018313 -00120213 -00200293 -fc521ce3 -0ff01eb7 -ff0e8e93 -00b00e13 -33d31063 -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020c1b3 -00120213 -00200293 -fe5212e3 -f00ffeb7 -00fe8e93 -00c00e13 -2fd19663 -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -00000013 -0020c1b3 -00120213 -00200293 -fe5210e3 -ff010eb7 -f00e8e93 -00d00e13 -2bd19a63 -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -00000013 -00000013 -0020c1b3 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -00e00e13 -27d19c63 -00000213 -ff0100b7 -f0008093 -00000013 -0f0f1137 -f0f10113 -0020c1b3 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -00f00e13 -25d19063 -00000213 -0ff010b7 -ff008093 -00000013 -f0f0f137 -0f010113 -00000013 -0020c1b3 -00120213 -00200293 -fc521ee3 -ff010eb7 -f00e8e93 -01000e13 -21d19263 -00000213 -00ff00b7 -0ff08093 -00000013 -00000013 -0f0f1137 -f0f10113 -0020c1b3 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -01100e13 -1dd19463 -00000213 -0f0f1137 -f0f10113 -ff0100b7 -f0008093 -0020c1b3 -00120213 -00200293 -fe5212e3 -f00ffeb7 -00fe8e93 -01200e13 -19d19a63 -00000213 -f0f0f137 -0f010113 -0ff010b7 -ff008093 -00000013 -0020c1b3 -00120213 -00200293 -fe5210e3 -ff010eb7 -f00e8e93 -01300e13 -15d19e63 -00000213 -0f0f1137 -f0f10113 -00ff00b7 -0ff08093 -00000013 -00000013 -0020c1b3 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -01400e13 -13d19063 -00000213 -0f0f1137 -f0f10113 -00000013 -ff0100b7 -f0008093 -0020c1b3 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -01500e13 -0fd19463 -00000213 -f0f0f137 -0f010113 -00000013 -0ff010b7 -ff008093 -00000013 -0020c1b3 -00120213 -00200293 -fc521ee3 -ff010eb7 -f00e8e93 -01600e13 -0bd19663 -00000213 -0f0f1137 -f0f10113 -00000013 -00000013 -00ff00b7 -0ff08093 -0020c1b3 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -01700e13 -07d19863 -ff0100b7 -f0008093 -00104133 -ff010eb7 -f00e8e93 -01800e13 -05d11a63 -00ff00b7 -0ff08093 -0000c133 -00ff0eb7 -0ffe8e93 -01900e13 -03d11c63 -000040b3 -00000e93 -01a00e13 -03d09463 -111110b7 -11108093 -22222137 -22210113 -0020c033 -00000e93 -01b00e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -fc8fe06f -00002537 -e3850513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00627573 -02e00593 -00b62023 -00b62023 -00000093 -00000113 -402081b3 -00000e93 -00200e13 -4bd19663 -00100093 -00100113 -402081b3 -00000e93 -00300e13 -49d19a63 -00300093 -00700113 -402081b3 -ffc00e93 -00400e13 -47d19e63 -00000093 -ffff8137 -402081b3 -00008eb7 -00500e13 -47d19263 -800000b7 -00000113 -402081b3 -80000eb7 -00600e13 -45d19663 -800000b7 -ffff8137 -402081b3 -80008eb7 -00700e13 -43d19a63 -00000093 -00008137 -fff10113 -402081b3 -ffff8eb7 -001e8e93 -00800e13 -41d19a63 -800000b7 -fff08093 -00000113 -402081b3 -80000eb7 -fffe8e93 -00900e13 -3fd19a63 -800000b7 -fff08093 -00008137 -fff10113 -402081b3 -7fff8eb7 -00a00e13 -3dd19a63 -800000b7 -00008137 -fff10113 -402081b3 -7fff8eb7 -001e8e93 -00b00e13 -3bd19a63 -800000b7 -fff08093 -ffff8137 -402081b3 -80008eb7 -fffe8e93 -00c00e13 -39d19a63 -00000093 -fff00113 -402081b3 -00100e93 -00d00e13 -37d19e63 -fff00093 -00100113 -402081b3 -ffe00e93 -00e00e13 -37d19263 -fff00093 -fff00113 -402081b3 -00000e93 -00f00e13 -35d19663 -00d00093 -00b00113 -402080b3 -00200e93 -01000e13 -33d09a63 -00e00093 -00b00113 -40208133 -00300e93 -01100e13 -31d11e63 -00d00093 -401080b3 -00000e93 -01200e13 -31d09463 -00000213 -00d00093 -00b00113 -402081b3 -00018313 -00120213 -00200293 -fe5214e3 -00200e93 -01300e13 -2dd31e63 -00000213 -00e00093 -00b00113 -402081b3 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -00300e93 -01400e13 -2bd31663 -00000213 -00f00093 -00b00113 -402081b3 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5210e3 -00400e93 -01500e13 -27d31c63 -00000213 -00d00093 -00b00113 -402081b3 -00120213 -00200293 -fe5216e3 -00200e93 -01600e13 -25d19863 -00000213 -00e00093 -00b00113 -00000013 -402081b3 -00120213 -00200293 -fe5214e3 -00300e93 -01700e13 -23d19263 -00000213 -00f00093 -00b00113 -00000013 -00000013 -402081b3 -00120213 -00200293 -fe5212e3 -00400e93 -01800e13 -1fd19a63 -00000213 -00d00093 -00000013 -00b00113 -402081b3 -00120213 -00200293 -fe5214e3 -00200e93 -01900e13 -1dd19463 -00000213 -00e00093 -00000013 -00b00113 -00000013 -402081b3 -00120213 -00200293 -fe5212e3 -00300e93 -01a00e13 -19d19c63 -00000213 -00f00093 -00000013 -00000013 -00b00113 -402081b3 -00120213 -00200293 -fe5212e3 -00400e93 -01b00e13 -17d19463 -00000213 -00b00113 -00d00093 -402081b3 -00120213 -00200293 -fe5216e3 -00200e93 -01c00e13 -15d19063 -00000213 -00b00113 -00e00093 -00000013 -402081b3 -00120213 -00200293 -fe5214e3 -00300e93 -01d00e13 -11d19a63 -00000213 -00b00113 -00f00093 -00000013 -00000013 -402081b3 -00120213 -00200293 -fe5212e3 -00400e93 -01e00e13 -0fd19263 -00000213 -00b00113 -00000013 -00d00093 -402081b3 -00120213 -00200293 -fe5214e3 -00200e93 -01f00e13 -0bd19c63 -00000213 -00b00113 -00000013 -00e00093 -00000013 -402081b3 -00120213 -00200293 -fe5212e3 -00300e93 -02000e13 -09d19463 -00000213 -00b00113 -00000013 -00000013 -00f00093 -402081b3 -00120213 -00200293 -fe5212e3 -00400e93 -02100e13 -05d19c63 -ff100093 -40100133 -00f00e93 -02200e13 -05d11263 -02000093 -40008133 -02000e93 -02300e13 -03d11863 -400000b3 -00000e93 -02400e13 -03d09063 -01000093 -01e00113 -40208033 -00000e93 -02500e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -a64fe06f -00002537 -37850513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -0000626c -02e00593 -00b62023 -00b62023 -00007097 -09008093 -00008183 -fff00e93 -00200e13 -23d19c63 -00007097 -07808093 -00108183 -00000e93 -00300e13 -23d19063 -00007097 -06008093 -00208183 -ff000e93 -00400e13 -21d19463 -00007097 -04808093 -00308183 -00f00e93 -00500e13 -1fd19863 -00007097 -03308093 -ffd08183 -fff00e93 -00600e13 -1dd19c63 -00007097 -01b08093 -ffe08183 -00000e93 -00700e13 -1dd19063 -00007097 -00308093 -fff08183 -ff000e93 -00800e13 -1bd19463 -00007097 -feb08093 -00008183 -00f00e93 -00900e13 -19d19863 -00007097 -fd008093 -fe008093 -02008183 -fff00e93 -00a00e13 -17d19a63 -00007097 -fb408093 -ffa08093 -00708183 -00000e93 -00b00e13 -15d19c63 -00c00e13 -00000213 -00007097 -f9108093 -00108183 -00018313 -ff000e93 -13d31c63 -00120213 -00200293 -fe5210e3 -00d00e13 -00000213 -00007097 -f6608093 -00108183 -00000013 -00018313 -00f00e93 -11d31463 -00120213 -00200293 -fc521ee3 -00e00e13 -00000213 -00007097 -f3408093 -00108183 -00000013 -00000013 -00018313 -00000e93 -0dd31a63 -00120213 -00200293 -fc521ce3 -00f00e13 -00000213 -00007097 -f0108093 -00108183 -ff000e93 -0bd19663 -00120213 -00200293 -fe5212e3 -01000e13 -00000213 -00007097 -eda08093 -00000013 -00108183 -00f00e93 -09d19063 -00120213 -00200293 -fe5210e3 -01100e13 -00000213 -00007097 -eac08093 -00000013 -00000013 -00108183 -00000e93 -05d19863 -00120213 -00200293 -fc521ee3 -00007197 -e8418193 -00018103 -00200113 -00200e93 -01200e13 -03d11463 -00007197 -e6818193 -00018103 -00000013 -00200113 -00200e93 -01300e13 -01d11463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -ecdfd06f -00002537 -64450513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -0000686c -02e00593 -00b62023 -00b62023 -00007097 -dc808093 -00009183 -0ff00e93 -00200e13 -25d19c63 -00007097 -db008093 -00209183 -f0000e93 -00300e13 -25d19063 -00007097 -d9808093 -00409183 -00001eb7 -ff0e8e93 -00400e13 -23d19263 -00007097 -d7c08093 -00609183 -fffffeb7 -00fe8e93 -00500e13 -21d19463 -00007097 -d6608093 -ffa09183 -0ff00e93 -00600e13 -1fd19863 -00007097 -d4e08093 -ffc09183 -f0000e93 -00700e13 -1dd19c63 -00007097 -d3608093 -ffe09183 -00001eb7 -ff0e8e93 -00800e13 -1bd19e63 -00007097 -d1a08093 -00009183 -fffffeb7 -00fe8e93 -00900e13 -1bd19063 -00007097 -cf808093 -fe008093 -02009183 -0ff00e93 -00a00e13 -19d19263 -00007097 -cdc08093 -ffb08093 -00709183 -f0000e93 -00b00e13 -17d19463 -00c00e13 -00000213 -00007097 -cba08093 -00209183 -00018313 -00001eb7 -ff0e8e93 -15d31263 -00120213 -00200293 -fc521ee3 -00d00e13 -00000213 -00007097 -c8c08093 -00209183 -00000013 -00018313 -fffffeb7 -00fe8e93 -11d31863 -00120213 -00200293 -fc521ce3 -00e00e13 -00000213 -00007097 -c5408093 -00209183 -00000013 -00000013 -00018313 -f0000e93 -0dd31e63 -00120213 -00200293 -fc521ce3 -00f00e13 -00000213 -00007097 -c2208093 -00209183 -00001eb7 -ff0e8e93 -0bd19863 -00120213 -00200293 -fe5210e3 -01000e13 -00000213 -00007097 -bf808093 -00000013 -00209183 -fffffeb7 -00fe8e93 -09d19063 -00120213 -00200293 -fc521ee3 -01100e13 -00000213 -00007097 -bc408093 -00000013 -00000013 -00209183 -f0000e93 -05d19863 -00120213 -00200293 -fc521ee3 -00007197 -b9c18193 -00019103 -00200113 -00200e93 -01200e13 -03d11463 -00007197 -b8018193 -00019103 -00000013 -00200113 -00200e93 -01300e13 -01d11463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -bedfd06f -00003537 -93050513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -006c7273 -02e00593 -00b62023 -00b62023 -ffff80b7 -00000113 -0020d1b3 -ffff8eb7 -00200e13 -5bd19463 -ffff80b7 -00100113 -0020d1b3 -7fffceb7 -00300e13 -59d19863 -ffff80b7 -00700113 -0020d1b3 -02000eb7 -f00e8e93 -00400e13 -57d19a63 -ffff80b7 -00e00113 -0020d1b3 -00040eb7 -ffee8e93 -00500e13 -55d19c63 -ffff80b7 -00108093 -00f00113 -0020d1b3 -00020eb7 -fffe8e93 -00600e13 -53d19c63 -fff00093 -00000113 -0020d1b3 -fff00e93 -00700e13 -53d19063 -fff00093 -00100113 -0020d1b3 -80000eb7 -fffe8e93 -00800e13 -51d19263 -fff00093 -00700113 -0020d1b3 -02000eb7 -fffe8e93 -00900e13 -4fd19463 -fff00093 -00e00113 -0020d1b3 -00040eb7 -fffe8e93 -00a00e13 -4dd19663 -fff00093 -01f00113 -0020d1b3 -00100e93 -00b00e13 -4bd19a63 -212120b7 -12108093 -00000113 -0020d1b3 -21212eb7 -121e8e93 -00c00e13 -49d19a63 -212120b7 -12108093 -00100113 -0020d1b3 -10909eb7 -090e8e93 -00d00e13 -47d19a63 -212120b7 -12108093 -00700113 -0020d1b3 -00424eb7 -242e8e93 -00e00e13 -45d19a63 -212120b7 -12108093 -00e00113 -0020d1b3 -00008eb7 -484e8e93 -00f00e13 -43d19a63 -212120b7 -12108093 -01f00113 -0020d1b3 -00000e93 -01000e13 -41d19c63 -212120b7 -12108093 -fe000113 -0020d1b3 -21212eb7 -121e8e93 -01100e13 -3fd19c63 -212120b7 -12108093 -fe100113 -0020d1b3 -10909eb7 -090e8e93 -01200e13 -3dd19c63 -212120b7 -12108093 -fe700113 -0020d1b3 -00424eb7 -242e8e93 -01300e13 -3bd19c63 -212120b7 -12108093 -fee00113 -0020d1b3 -00008eb7 -484e8e93 -01400e13 -39d19c63 -212120b7 -12108093 -fff00113 -0020d1b3 -00000e93 -01500e13 -37d19e63 -ffff80b7 -00100113 -0020d0b3 -7fffceb7 -01600e13 -37d09263 -ffff80b7 -00e00113 -0020d133 -00040eb7 -ffee8e93 -01700e13 -35d11463 -00700093 -0010d0b3 -00000e93 -01800e13 -33d09a63 -00000213 -ffff80b7 -00100113 -0020d1b3 -00018313 -00120213 -00200293 -fe5214e3 -7fffceb7 -01900e13 -31d31463 -00000213 -ffff80b7 -00e00113 -0020d1b3 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -00040eb7 -ffee8e93 -01a00e13 -2dd31a63 -00000213 -ffff80b7 -00f00113 -0020d1b3 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5210e3 -00020eb7 -fffe8e93 -01b00e13 -29d31e63 -00000213 -ffff80b7 -00100113 -0020d1b3 -00120213 -00200293 -fe5216e3 -7fffceb7 -01c00e13 -27d19a63 -00000213 -ffff80b7 -00700113 -00000013 -0020d1b3 -00120213 -00200293 -fe5214e3 -02000eb7 -f00e8e93 -01d00e13 -25d19263 -00000213 -ffff80b7 -00f00113 -00000013 -00000013 -0020d1b3 -00120213 -00200293 -fe5212e3 -00020eb7 -fffe8e93 -01e00e13 -21d19863 -00000213 -ffff80b7 -00000013 -00100113 -0020d1b3 -00120213 -00200293 -fe5214e3 -7fffceb7 -01f00e13 -1fd19263 -00000213 -ffff80b7 -00000013 -00700113 -00000013 -0020d1b3 -00120213 -00200293 -fe5212e3 -02000eb7 -f00e8e93 -02000e13 -1bd19863 -00000213 -ffff80b7 -00000013 -00000013 -00f00113 -0020d1b3 -00120213 -00200293 -fe5212e3 -00020eb7 -fffe8e93 -02100e13 -17d19e63 -00000213 -00100113 -ffff80b7 -0020d1b3 -00120213 -00200293 -fe5216e3 -7fffceb7 -02200e13 -15d19a63 -00000213 -00700113 -ffff80b7 -00000013 -0020d1b3 -00120213 -00200293 -fe5214e3 -02000eb7 -f00e8e93 -02300e13 -13d19263 -00000213 -00f00113 -ffff80b7 -00000013 -00000013 -0020d1b3 -00120213 -00200293 -fe5212e3 -00020eb7 -fffe8e93 -02400e13 -0fd19863 -00000213 -00100113 -00000013 -ffff80b7 -0020d1b3 -00120213 -00200293 -fe5214e3 -7fffceb7 -02500e13 -0dd19263 -00000213 -00700113 -00000013 -ffff80b7 -00000013 -0020d1b3 -00120213 -00200293 -fe5212e3 -02000eb7 -f00e8e93 -02600e13 -09d19863 -00000213 -00f00113 -00000013 -00000013 -ffff80b7 -0020d1b3 -00120213 -00200293 -fe5212e3 -00020eb7 -fffe8e93 -02700e13 -05d19e63 -00f00093 -00105133 -00000e93 -02800e13 -05d11463 -02000093 -0000d133 -02000e93 -02900e13 -03d11a63 -000050b3 -00000e93 -02a00e13 -03d09263 -40000093 -00001137 -80010113 -0020d033 -00000e93 -02b00e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -ea0fd06f -00003537 -f6c50513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00646e61 -02e00593 -00b62023 -00b62023 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020f1b3 -0f001eb7 -f00e8e93 -00200e13 -49d19c63 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020f1b3 -00f00eb7 -0f0e8e93 -00300e13 -47d19a63 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020f1b3 -000f0eb7 -00fe8e93 -00400e13 -45d19863 -f00ff0b7 -00f08093 -f0f0f137 -0f010113 -0020f1b3 -f000feb7 -00500e13 -43d19863 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020f0b3 -0f001eb7 -f00e8e93 -00600e13 -41d09663 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020f133 -00f00eb7 -0f0e8e93 -00700e13 -3fd11463 -ff0100b7 -f0008093 -0010f0b3 -ff010eb7 -f00e8e93 -00800e13 -3dd09663 -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020f1b3 -00018313 -00120213 -00200293 -fe5210e3 -0f001eb7 -f00e8e93 -00900e13 -39d31a63 -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020f1b3 -00000013 -00018313 -00120213 -00200293 -fc521ee3 -00f00eb7 -0f0e8e93 -00a00e13 -35d31c63 -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020f1b3 -00000013 -00000013 -00018313 -00120213 -00200293 -fc521ce3 -000f0eb7 -00fe8e93 -00b00e13 -31d31c63 -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020f1b3 -00120213 -00200293 -fe5212e3 -0f001eb7 -f00e8e93 -00c00e13 -2fd19263 -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -00000013 -0020f1b3 -00120213 -00200293 -fe5210e3 -00f00eb7 -0f0e8e93 -00d00e13 -2bd19663 -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -00000013 -00000013 -0020f1b3 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -00e00e13 -27d19863 -00000213 -ff0100b7 -f0008093 -00000013 -0f0f1137 -f0f10113 -0020f1b3 -00120213 -00200293 -fe5210e3 -0f001eb7 -f00e8e93 -00f00e13 -23d19c63 -00000213 -0ff010b7 -ff008093 -00000013 -f0f0f137 -0f010113 -00000013 -0020f1b3 -00120213 -00200293 -fc521ee3 -00f00eb7 -0f0e8e93 -01000e13 -1fd19e63 -00000213 -00ff00b7 -0ff08093 -00000013 -00000013 -0f0f1137 -f0f10113 -0020f1b3 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -01100e13 -1dd19063 -00000213 -0f0f1137 -f0f10113 -ff0100b7 -f0008093 -0020f1b3 -00120213 -00200293 -fe5212e3 -0f001eb7 -f00e8e93 -01200e13 -19d19663 -00000213 -f0f0f137 -0f010113 -0ff010b7 -ff008093 -00000013 -0020f1b3 -00120213 -00200293 -fe5210e3 -00f00eb7 -0f0e8e93 -01300e13 -15d19a63 -00000213 -0f0f1137 -f0f10113 -00ff00b7 -0ff08093 -00000013 -00000013 -0020f1b3 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -01400e13 -11d19c63 -00000213 -0f0f1137 -f0f10113 -00000013 -ff0100b7 -f0008093 -0020f1b3 -00120213 -00200293 -fe5210e3 -0f001eb7 -f00e8e93 -01500e13 -0fd19063 -00000213 -f0f0f137 -0f010113 -00000013 -0ff010b7 -ff008093 -00000013 -0020f1b3 -00120213 -00200293 -fc521ee3 -00f00eb7 -0f0e8e93 -01600e13 -0bd19263 -00000213 -0f0f1137 -f0f10113 -00000013 -00000013 -00ff00b7 -0ff08093 -0020f1b3 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -01700e13 -07d19463 -ff0100b7 -f0008093 -00107133 -00000e93 -01800e13 -05d11863 -00ff00b7 -0ff08093 -0000f133 -00000e93 -01900e13 -03d11c63 -000070b3 -00000e93 -01a00e13 -03d09463 -111110b7 -11108093 -22222137 -22210113 -0020f033 -00000e93 -01b00e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -98cfd06f -00003537 -4a450513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -69617273 -00000000 -02e00593 -00b62023 -00b62023 -00000093 -4000d193 -00000e93 -00200e13 -2bd19463 -800000b7 -4010d193 -c0000eb7 -00300e13 -29d19a63 -800000b7 -4070d193 -ff000eb7 -00400e13 -29d19063 -800000b7 -40e0d193 -fffe0eb7 -00500e13 -27d19663 -800000b7 -00108093 -41f0d193 -fff00e93 -00600e13 -25d19a63 -800000b7 -fff08093 -4000d193 -80000eb7 -fffe8e93 -00700e13 -23d19c63 -800000b7 -fff08093 -4010d193 -40000eb7 -fffe8e93 -00800e13 -21d19e63 -800000b7 -fff08093 -4070d193 -01000eb7 -fffe8e93 -00900e13 -21d19063 -800000b7 -fff08093 -40e0d193 -00020eb7 -fffe8e93 -00a00e13 -1fd19263 -800000b7 -fff08093 -41f0d193 -00000e93 -00b00e13 -1dd19663 -818180b7 -18108093 -4000d193 -81818eb7 -181e8e93 -00c00e13 -1bd19863 -818180b7 -18108093 -4010d193 -c0c0ceb7 -0c0e8e93 -00d00e13 -19d19a63 -818180b7 -18108093 -4070d193 -ff030eb7 -303e8e93 -00e00e13 -17d19c63 -818180b7 -18108093 -40e0d193 -fffe0eb7 -606e8e93 -00f00e13 -15d19e63 -818180b7 -18108093 -41f0d193 -fff00e93 -01000e13 -15d19263 -800000b7 -4070d093 -ff000eb7 -01100e13 -13d09863 -00000213 -800000b7 -4070d193 -00018313 -00120213 -00200293 -fe5216e3 -ff000eb7 -01200e13 -11d31463 -00000213 -800000b7 -40e0d193 -00000013 -00018313 -00120213 -00200293 -fe5214e3 -fffe0eb7 -01300e13 -0dd31e63 -00000213 -800000b7 -00108093 -41f0d193 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5210e3 -fff00e93 -01400e13 -0bd31463 -00000213 -800000b7 -4070d193 -00120213 -00200293 -fe5218e3 -ff000eb7 -01500e13 -09d19263 -00000213 -800000b7 -00000013 -40e0d193 -00120213 -00200293 -fe5216e3 -fffe0eb7 -01600e13 -05d19e63 -00000213 -800000b7 -00108093 -00000013 -00000013 -41f0d193 -00120213 -00200293 -fe5212e3 -fff00e93 -01700e13 -03d19663 -41f05093 -00000e93 -01800e13 -01d09e63 -02100093 -4140d013 -00000e93 -01900e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -de5fc06f -00003537 -7e050513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -75746c62 -00000000 -02e00593 -00b62023 -00b62023 -00200e13 -00000093 -00100113 -0020e663 -2fc01263 -01c01663 -fe20eee3 -2dc01c63 -00300e13 -ffe00093 -fff00113 -0020e663 -2dc01263 -01c01663 -fe20eee3 -2bc01c63 -00400e13 -00000093 -fff00113 -0020e663 -2bc01263 -01c01663 -fe20eee3 -29c01c63 -00500e13 -00100093 -00000113 -0020e463 -01c01463 -29c01063 -fe20eee3 -00600e13 -fff00093 -ffe00113 -0020e463 -01c01463 -27c01263 -fe20eee3 -00700e13 -fff00093 -00000113 -0020e463 -01c01463 -25c01463 -fe20eee3 -00800e13 -800000b7 -80000137 -fff10113 -0020e463 -01c01463 -23c01463 -fe20eee3 -00900e13 -00000213 -f00000b7 -f0000137 -fff10113 -2020e663 -00120213 -00200293 -fe5214e3 -00a00e13 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -1e20e263 -00120213 -00200293 -fe5212e3 -00b00e13 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -00000013 -1a20ec63 -00120213 -00200293 -fe5210e3 -00c00e13 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -1820e863 -00120213 -00200293 -fe5212e3 -00d00e13 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -00000013 -1620e263 -00120213 -00200293 -fe5210e3 -00e00e13 -00000213 -f00000b7 -00000013 -00000013 -f0000137 -fff10113 -1220ec63 -00120213 -00200293 -fe5210e3 -00f00e13 -00000213 -f00000b7 -f0000137 -fff10113 -1020ea63 -00120213 -00200293 -fe5214e3 -01000e13 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -0e20e663 -00120213 -00200293 -fe5212e3 -01100e13 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -00000013 -0c20e063 -00120213 -00200293 -fe5210e3 -01200e13 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -0820ec63 -00120213 -00200293 -fe5212e3 -01300e13 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -00000013 -0620e663 -00120213 -00200293 -fe5210e3 -01400e13 -00000213 -f00000b7 -00000013 -00000013 -f0000137 -fff10113 -0420e063 -00120213 -00200293 -fe5210e3 -00100093 -00106a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -01500e13 -01d09463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -9a1fc06f -00004537 -b5850513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -69646461 -00000000 -02e00593 -00b62023 -00b62023 -00000093 -00008193 -00000e93 -00200e13 -27d19c63 -00100093 -00108193 -00200e93 -00300e13 -27d19263 -00300093 -00708193 -00a00e93 -00400e13 -25d19863 -00000093 -80008193 -80000e93 -00500e13 -23d19e63 -800000b7 -00008193 -80000eb7 -00600e13 -23d19463 -800000b7 -80008193 -80000eb7 -800e8e93 -00700e13 -21d19863 -00000093 -7ff08193 -7ff00e93 -00800e13 -1fd19e63 -800000b7 -fff08093 -00008193 -80000eb7 -fffe8e93 -00900e13 -1fd19063 -800000b7 -fff08093 -7ff08193 -80000eb7 -7fee8e93 -00a00e13 -1dd19263 -800000b7 -7ff08193 -80000eb7 -7ffe8e93 -00b00e13 -1bd19663 -800000b7 -fff08093 -80008193 -7ffffeb7 -7ffe8e93 -00c00e13 -19d19863 -00000093 -fff08193 -fff00e93 -00d00e13 -17d19e63 -fff00093 -00108193 -00000e93 -00e00e13 -17d19463 -fff00093 -fff08193 -ffe00e93 -00f00e13 -15d19a63 -800000b7 -fff08093 -00108193 -80000eb7 -01000e13 -13d19e63 -00d00093 -00b08093 -01800e93 -01100e13 -13d09463 -00000213 -00d00093 -00b08193 -00018313 -00120213 -00200293 -fe5216e3 -01800e93 -01200e13 -11d31063 -00000213 -00d00093 -00a08193 -00000013 -00018313 -00120213 -00200293 -fe5214e3 -01700e93 -01300e13 -0dd31a63 -00000213 -00d00093 -00908193 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -01600e93 -01400e13 -0bd31263 -00000213 -00d00093 -00b08193 -00120213 -00200293 -fe5218e3 -01800e93 -01500e13 -09d19063 -00000213 -00d00093 -00000013 -00a08193 -00120213 -00200293 -fe5216e3 -01700e93 -01600e13 -05d19c63 -00000213 -00d00093 -00000013 -00000013 -00908193 -00120213 -00200293 -fe5214e3 -01600e93 -01700e13 -03d19663 -02000093 -02000e93 -01800e13 -01d09e63 -02100093 -03208013 -00000e93 -01900e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -f0cfc06f -00004537 -e6450513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00716562 -02e00593 -00b62023 -00b62023 -00200e13 -00000093 -00000113 -00208663 -2bc01863 -01c01663 -fe208ee3 -2bc01263 -00300e13 -00100093 -00100113 -00208663 -29c01863 -01c01663 -fe208ee3 -29c01263 -00400e13 -fff00093 -fff00113 -00208663 -27c01863 -01c01663 -fe208ee3 -27c01263 -00500e13 -00000093 -00100113 -00208463 -01c01463 -25c01663 -fe208ee3 -00600e13 -00100093 -00000113 -00208463 -01c01463 -23c01863 -fe208ee3 -00700e13 -fff00093 -00100113 -00208463 -01c01463 -21c01a63 -fe208ee3 -00800e13 -00100093 -fff00113 -00208463 -01c01463 -1fc01c63 -fe208ee3 -00900e13 -00000213 -00000093 -fff00113 -1e208063 -00120213 -00200293 -fe5216e3 -00a00e13 -00000213 -00000093 -fff00113 -00000013 -1a208e63 -00120213 -00200293 -fe5214e3 -00b00e13 -00000213 -00000093 -fff00113 -00000013 -00000013 -18208a63 -00120213 -00200293 -fe5212e3 -00c00e13 -00000213 -00000093 -00000013 -fff00113 -16208863 -00120213 -00200293 -fe5214e3 -00d00e13 -00000213 -00000093 -00000013 -fff00113 -00000013 -14208463 -00120213 -00200293 -fe5212e3 -00e00e13 -00000213 -00000093 -00000013 -00000013 -fff00113 -12208063 -00120213 -00200293 -fe5212e3 -00f00e13 -00000213 -00000093 -fff00113 -10208063 -00120213 -00200293 -fe5216e3 -01000e13 -00000213 -00000093 -fff00113 -00000013 -0c208e63 -00120213 -00200293 -fe5214e3 -01100e13 -00000213 -00000093 -fff00113 -00000013 -00000013 -0a208a63 -00120213 -00200293 -fe5212e3 -01200e13 -00000213 -00000093 -00000013 -fff00113 -08208863 -00120213 -00200293 -fe5214e3 -01300e13 -00000213 -00000093 -00000013 -fff00113 -00000013 -06208463 -00120213 -00200293 -fe5212e3 -01400e13 -00000213 -00000093 -00000013 -00000013 -fff00113 -04208063 -00120213 -00200293 -fe5212e3 -00100093 -00000a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -01500e13 -01d09463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -b24fc06f -00004537 -1a450513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00617273 -02e00593 -00b62023 -00b62023 -800000b7 -00000113 -4020d1b3 -80000eb7 -00200e13 -59d19463 -800000b7 -00100113 -4020d1b3 -c0000eb7 -00300e13 -57d19863 -800000b7 -00700113 -4020d1b3 -ff000eb7 -00400e13 -55d19c63 -800000b7 -00e00113 -4020d1b3 -fffe0eb7 -00500e13 -55d19063 -800000b7 -00108093 -01f00113 -4020d1b3 -fff00e93 -00600e13 -53d19263 -800000b7 -fff08093 -00000113 -4020d1b3 -80000eb7 -fffe8e93 -00700e13 -51d19263 -800000b7 -fff08093 -00100113 -4020d1b3 -40000eb7 -fffe8e93 -00800e13 -4fd19263 -800000b7 -fff08093 -00700113 -4020d1b3 -01000eb7 -fffe8e93 -00900e13 -4dd19263 -800000b7 -fff08093 -00e00113 -4020d1b3 -00020eb7 -fffe8e93 -00a00e13 -4bd19263 -800000b7 -fff08093 -01f00113 -4020d1b3 -00000e93 -00b00e13 -49d19463 -818180b7 -18108093 -00000113 -4020d1b3 -81818eb7 -181e8e93 -00c00e13 -47d19463 -818180b7 -18108093 -00100113 -4020d1b3 -c0c0ceb7 -0c0e8e93 -00d00e13 -45d19463 -818180b7 -18108093 -00700113 -4020d1b3 -ff030eb7 -303e8e93 -00e00e13 -43d19463 -818180b7 -18108093 -00e00113 -4020d1b3 -fffe0eb7 -606e8e93 -00f00e13 -41d19463 -818180b7 -18108093 -01f00113 -4020d1b3 -fff00e93 -01000e13 -3fd19663 -818180b7 -18108093 -fc000113 -4020d1b3 -81818eb7 -181e8e93 -01100e13 -3dd19663 -818180b7 -18108093 -fc100113 -4020d1b3 -c0c0ceb7 -0c0e8e93 -01200e13 -3bd19663 -818180b7 -18108093 -fc700113 -4020d1b3 -ff030eb7 -303e8e93 -01300e13 -39d19663 -818180b7 -18108093 -fce00113 -4020d1b3 -fffe0eb7 -606e8e93 -01400e13 -37d19663 -818180b7 -18108093 -fff00113 -4020d1b3 -fff00e93 -01500e13 -35d19863 -800000b7 -00700113 -4020d0b3 -ff000eb7 -01600e13 -33d09c63 -800000b7 -00e00113 -4020d133 -fffe0eb7 -01700e13 -33d11063 -00700093 -4010d0b3 -00000e93 -01800e13 -31d09663 -00000213 -800000b7 -00700113 -4020d1b3 -00018313 -00120213 -00200293 -fe5214e3 -ff000eb7 -01900e13 -2fd31063 -00000213 -800000b7 -00e00113 -4020d1b3 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -fffe0eb7 -01a00e13 -2bd31863 -00000213 -800000b7 -01f00113 -4020d1b3 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5210e3 -fff00e93 -01b00e13 -27d31e63 -00000213 -800000b7 -00700113 -4020d1b3 -00120213 -00200293 -fe5216e3 -ff000eb7 -01c00e13 -25d19a63 -00000213 -800000b7 -00e00113 -00000013 -4020d1b3 -00120213 -00200293 -fe5214e3 -fffe0eb7 -01d00e13 -23d19463 -00000213 -800000b7 -01f00113 -00000013 -00000013 -4020d1b3 -00120213 -00200293 -fe5212e3 -fff00e93 -01e00e13 -1fd19c63 -00000213 -800000b7 -00000013 -00700113 -4020d1b3 -00120213 -00200293 -fe5214e3 -ff000eb7 -01f00e13 -1dd19663 -00000213 -800000b7 -00000013 -00e00113 -00000013 -4020d1b3 -00120213 -00200293 -fe5212e3 -fffe0eb7 -02000e13 -19d19e63 -00000213 -800000b7 -00000013 -00000013 -01f00113 -4020d1b3 -00120213 -00200293 -fe5212e3 -fff00e93 -02100e13 -17d19663 -00000213 -00700113 -800000b7 -4020d1b3 -00120213 -00200293 -fe5216e3 -ff000eb7 -02200e13 -15d19263 -00000213 -00e00113 -800000b7 -00000013 -4020d1b3 -00120213 -00200293 -fe5214e3 -fffe0eb7 -02300e13 -11d19c63 -00000213 -01f00113 -800000b7 -00000013 -00000013 -4020d1b3 -00120213 -00200293 -fe5212e3 -fff00e93 -02400e13 -0fd19463 -00000213 -00700113 -00000013 -800000b7 -4020d1b3 -00120213 -00200293 -fe5214e3 -ff000eb7 -02500e13 -0bd19e63 -00000213 -00e00113 -00000013 -800000b7 -00000013 -4020d1b3 -00120213 -00200293 -fe5212e3 -fffe0eb7 -02600e13 -09d19663 -00000213 -01f00113 -00000013 -00000013 -800000b7 -4020d1b3 -00120213 -00200293 -fe5212e3 -fff00e93 -02700e13 -05d19e63 -00f00093 -40105133 -00000e93 -02800e13 -05d11463 -02000093 -4000d133 -02000e93 -02900e13 -03d11a63 -400050b3 -00000e93 -02a00e13 -03d09263 -40000093 -00001137 -80010113 -4020d033 -00000e93 -02b00e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -e59fb06f -00004537 -7c050513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00007773 -02e00593 -00b62023 -00b62023 -00005097 -c5408093 -00aa0137 -0aa10113 -0020a023 -0000a183 -00aa0eb7 -0aae8e93 -00200e13 -47d19063 -00005097 -c2c08093 -aa00b137 -a0010113 -0020a223 -0040a183 -aa00beb7 -a00e8e93 -00300e13 -43d19c63 -00005097 -c0408093 -0aa01137 -aa010113 -0020a423 -0080a183 -0aa01eb7 -aa0e8e93 -00400e13 -41d19863 -00005097 -bdc08093 -a00aa137 -00a10113 -0020a623 -00c0a183 -a00aaeb7 -00ae8e93 -00500e13 -3fd19463 -00005097 -bd008093 -00aa0137 -0aa10113 -fe20aa23 -ff40a183 -00aa0eb7 -0aae8e93 -00600e13 -3dd19063 -00005097 -ba808093 -aa00b137 -a0010113 -fe20ac23 -ff80a183 -aa00beb7 -a00e8e93 -00700e13 -39d19c63 -00005097 -b8008093 -0aa01137 -aa010113 -fe20ae23 -ffc0a183 -0aa01eb7 -aa0e8e93 -00800e13 -37d19863 -00005097 -b5808093 -a00aa137 -00a10113 -0020a023 -0000a183 -a00aaeb7 -00ae8e93 -00900e13 -35d19463 -00005097 -b3408093 -12345137 -67810113 -fe008213 -02222023 -0000a183 -12345eb7 -678e8e93 -00a00e13 -31d19e63 -00005097 -b0808093 -58213137 -09810113 -ffd08093 -0020a3a3 -00005217 -af420213 -00022183 -58213eb7 -098e8e93 -00b00e13 -2fd19463 -00c00e13 -00000213 -aabbd0b7 -cdd08093 -00005117 -aa410113 -00112023 -00012183 -aabbdeb7 -cdde8e93 -2bd19e63 -00120213 -00200293 -fc521ae3 -00d00e13 -00000213 -daabc0b7 -ccd08093 -00005117 -a6c10113 -00000013 -00112223 -00412183 -daabceb7 -ccde8e93 -29d19063 -00120213 -00200293 -fc5218e3 -00e00e13 -00000213 -ddaac0b7 -bcc08093 -00005117 -a3010113 -00000013 -00000013 -00112423 -00812183 -ddaaceb7 -bcce8e93 -25d19063 -00120213 -00200293 -fc5216e3 -00f00e13 -00000213 -cddab0b7 -bbc08093 -00000013 -00005117 -9ec10113 -00112623 -00c12183 -cddabeb7 -bbce8e93 -21d19263 -00120213 -00200293 -fc5218e3 -01000e13 -00000213 -ccddb0b7 -abb08093 -00000013 -00005117 -9b010113 -00000013 -00112823 -01012183 -ccddbeb7 -abbe8e93 -1dd19263 -00120213 -00200293 -fc5216e3 -01100e13 -00000213 -bccde0b7 -aab08093 -00000013 -00000013 -00005117 -96c10113 -00112a23 -01412183 -bccdeeb7 -aabe8e93 -19d19263 -00120213 -00200293 -fc5216e3 -01200e13 -00000213 -00005117 -93c10113 -001120b7 -23308093 -00112023 -00012183 -00112eb7 -233e8e93 -15d19663 -00120213 -00200293 -fc521ae3 -01300e13 -00000213 -00005117 -90410113 -300110b7 -22308093 -00000013 -00112223 -00412183 -30011eb7 -223e8e93 -11d19863 -00120213 -00200293 -fc5218e3 -01400e13 -00000213 -00005117 -8c810113 -330010b7 -12208093 -00000013 -00000013 -00112423 -00812183 -33001eb7 -122e8e93 -0dd19863 -00120213 -00200293 -fc5216e3 -01500e13 -00000213 -00005117 -88810113 -00000013 -233000b7 -11208093 -00112623 -00c12183 -23300eb7 -112e8e93 -09d19a63 -00120213 -00200293 -fc5218e3 -01600e13 -00000213 -00005117 -84c10113 -00000013 -223300b7 -01108093 -00000013 -00112823 -01012183 -22330eb7 -011e8e93 -05d19a63 -00120213 -00200293 -fc5216e3 -01700e13 -00000213 -00005117 -80c10113 -00000013 -00000013 -122330b7 -00108093 -00112a23 -01412183 -12233eb7 -001e8e93 -01d19a63 -00120213 -00200293 -fc5216e3 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -8a1fb06f -00000000 -00005537 -cc850513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -70697561 -00000063 -02e00593 -00b62023 -00b62023 -00000013 -00002517 -71c50513 -004005ef -40b50533 -00002eb7 -710e8e93 -00200e13 -03d51463 -ffffe517 -8fc50513 -004005ef -40b50533 -ffffeeb7 -8f0e8e93 -00300e13 -01d51463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -f04fb06f -00005537 -d9450513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -726c616a -00000000 -02e00593 -00b62023 -00b62023 -00200e13 -00000f93 -00000117 -01810113 -000109e7 -00000013 -00000013 -0e40006f -00000097 -ff008093 -00408093 -0d309a63 -00300e13 -00000f93 -00000197 -01418193 -00018067 -00000013 -0b80006f -0a0f9a63 -00400e13 -00000213 -00000317 -01030313 -000309e7 -09c01e63 -00120213 -00200293 -fe5214e3 -00500e13 -00000213 -00000317 -01430313 -00000013 -000309e7 -07c01a63 -00120213 -00200293 -fe5212e3 -00600e13 -00000213 -00000317 -01830313 -00000013 -00000013 -000309e7 -05c01463 -00120213 -00200293 -fe5210e3 -00100093 -00000117 -01c10113 -ffc109e7 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00400e93 -00700e13 -01d09463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -da4fb06f -00005537 -f1850513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -75656762 -00000000 -02e00593 -00b62023 -00b62023 -00200e13 -00000093 -00000113 -0020f663 -35c01263 -01c01663 -fe20fee3 -33c01c63 -00300e13 -00100093 -00100113 -0020f663 -33c01263 -01c01663 -fe20fee3 -31c01c63 -00400e13 -fff00093 -fff00113 -0020f663 -31c01263 -01c01663 -fe20fee3 -2fc01c63 -00500e13 -00100093 -00000113 -0020f663 -2fc01263 -01c01663 -fe20fee3 -2dc01c63 -00600e13 -fff00093 -ffe00113 -0020f663 -2dc01263 -01c01663 -fe20fee3 -2bc01c63 -00700e13 -fff00093 -00000113 -0020f663 -2bc01263 -01c01663 -fe20fee3 -29c01c63 -00800e13 -00000093 -00100113 -0020f463 -01c01463 -29c01063 -fe20fee3 -00900e13 -ffe00093 -fff00113 -0020f463 -01c01463 -27c01263 -fe20fee3 -00a00e13 -00000093 -fff00113 -0020f463 -01c01463 -25c01463 -fe20fee3 -00b00e13 -800000b7 -fff08093 -80000137 -0020f463 -01c01463 -23c01463 -fe20fee3 -00c00e13 -00000213 -f00000b7 -fff08093 -f0000137 -2020f663 -00120213 -00200293 -fe5214e3 -00d00e13 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -1e20f263 -00120213 -00200293 -fe5212e3 -00e00e13 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -00000013 -1a20fc63 -00120213 -00200293 -fe5210e3 -00f00e13 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -1820f863 -00120213 -00200293 -fe5212e3 -01000e13 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -00000013 -1620f263 -00120213 -00200293 -fe5210e3 -01100e13 -00000213 -f00000b7 -fff08093 -00000013 -00000013 -f0000137 -1220fc63 -00120213 -00200293 -fe5210e3 -01200e13 -00000213 -f00000b7 -fff08093 -f0000137 -1020fa63 -00120213 -00200293 -fe5214e3 -01300e13 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -0e20f663 -00120213 -00200293 -fe5212e3 -01400e13 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -00000013 -0c20f063 -00120213 -00200293 -fe5210e3 -01500e13 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -0820fc63 -00120213 -00200293 -fe5212e3 -01600e13 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -00000013 -0620f663 -00120213 -00200293 -fe5210e3 -01700e13 -00000213 -f00000b7 -fff08093 -00000013 -00000013 -f0000137 -0420f063 -00120213 -00200293 -fe5210e3 -00100093 -0000fa63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -01800e13 -01d09463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -a14fb06f -00005537 -2f050513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -0000776c -02e00593 -00b62023 -00b62023 -00004097 -14c08093 -0000a183 -00ff0eb7 -0ffe8e93 -00200e13 -27d19a63 -00004097 -13008093 -0040a183 -ff010eb7 -f00e8e93 -00300e13 -25d19c63 -00004097 -11408093 -0080a183 -0ff01eb7 -ff0e8e93 -00400e13 -23d19e63 -00004097 -0f808093 -00c0a183 -f00ffeb7 -00fe8e93 -00500e13 -23d19063 -00004097 -0e808093 -ff40a183 -00ff0eb7 -0ffe8e93 -00600e13 -21d19263 -00004097 -0cc08093 -ff80a183 -ff010eb7 -f00e8e93 -00700e13 -1fd19463 -00004097 -0b008093 -ffc0a183 -0ff01eb7 -ff0e8e93 -00800e13 -1dd19663 -00004097 -09408093 -0000a183 -f00ffeb7 -00fe8e93 -00900e13 -1bd19863 -00004097 -06c08093 -fe008093 -0200a183 -00ff0eb7 -0ffe8e93 -00a00e13 -19d19863 -00004097 -04c08093 -ffd08093 -0070a183 -ff010eb7 -f00e8e93 -00b00e13 -17d19863 -00c00e13 -00000213 -00004097 -02808093 -0040a183 -00018313 -0ff01eb7 -ff0e8e93 -15d31663 -00120213 -00200293 -fc521ee3 -00d00e13 -00000213 -00004097 -ffc08093 -0040a183 -00000013 -00018313 -f00ffeb7 -00fe8e93 -11d31c63 -00120213 -00200293 -fc521ce3 -00e00e13 -00000213 -00004097 -fc008093 -0040a183 -00000013 -00000013 -00018313 -ff010eb7 -f00e8e93 -0fd31063 -00120213 -00200293 -fc521ae3 -00f00e13 -00000213 -00004097 -f8c08093 -0040a183 -0ff01eb7 -ff0e8e93 -0bd19a63 -00120213 -00200293 -fe5210e3 -01000e13 -00000213 -00004097 -f6408093 -00000013 -0040a183 -f00ffeb7 -00fe8e93 -09d19263 -00120213 -00200293 -fc521ee3 -01100e13 -00000213 -00004097 -f2c08093 -00000013 -00000013 -0040a183 -ff010eb7 -f00e8e93 -05d19863 -00120213 -00200293 -fc521ce3 -00004197 -f0018193 -0001a103 -00200113 -00200e93 -01200e13 -03d11463 -00004197 -ee418193 -0001a103 -00000013 -00200113 -00200e93 -01300e13 -01d11463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -f2dfa06f -00005537 -5fc50513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -69746c73 -00000000 -02e00593 -00b62023 -00b62023 -00000093 -0000a193 -00000e93 -00200e13 -27d19263 -00100093 -0010a193 -00000e93 -00300e13 -25d19863 -00300093 -0070a193 -00100e93 -00400e13 -23d19e63 -00700093 -0030a193 -00000e93 -00500e13 -23d19463 -00000093 -8000a193 -00000e93 -00600e13 -21d19a63 -800000b7 -0000a193 -00100e93 -00700e13 -21d19063 -800000b7 -8000a193 -00100e93 -00800e13 -1fd19663 -00000093 -7ff0a193 -00100e93 -00900e13 -1dd19c63 -800000b7 -fff08093 -0000a193 -00000e93 -00a00e13 -1dd19063 -800000b7 -fff08093 -7ff0a193 -00000e93 -00b00e13 -1bd19463 -800000b7 -7ff0a193 -00100e93 -00c00e13 -19d19a63 -800000b7 -fff08093 -8000a193 -00000e93 -00d00e13 -17d19e63 -00000093 -fff0a193 -00000e93 -00e00e13 -17d19463 -fff00093 -0010a193 -00100e93 -00f00e13 -15d19a63 -fff00093 -fff0a193 -00000e93 -01000e13 -15d19063 -00b00093 -00d0b093 -00100e93 -01100e13 -13d09663 -00000213 -00f00093 -00a0a193 -00018313 -00120213 -00200293 -fe5216e3 -00000e93 -01200e13 -11d31263 -00000213 -00a00093 -0100a193 -00000013 -00018313 -00120213 -00200293 -fe5214e3 -00100e93 -01300e13 -0dd31c63 -00000213 -01000093 -0090a193 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -00000e93 -01400e13 -0bd31463 -00000213 -00b00093 -00f0a193 -00120213 -00200293 -fe5218e3 -00100e93 -01500e13 -09d19263 -00000213 -01100093 -00000013 -0080a193 -00120213 -00200293 -fe5216e3 -00000e93 -01600e13 -05d19e63 -00000213 -00c00093 -00000013 -00000013 -00e0a193 -00120213 -00200293 -fe5214e3 -00100e93 -01700e13 -03d19863 -fff02093 -00000e93 -01800e13 -03d09063 -00ff00b7 -0ff08093 -fff0a013 -00000e93 -01900e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -c89fa06f -00006537 -8f450513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00746c73 -02e00593 -00b62023 -00b62023 -00000093 -00000113 -0020a1b3 -00000e93 -00200e13 -4bd19a63 -00100093 -00100113 -0020a1b3 -00000e93 -00300e13 -49d19e63 -00300093 -00700113 -0020a1b3 -00100e93 -00400e13 -49d19263 -00700093 -00300113 -0020a1b3 -00000e93 -00500e13 -47d19663 -00000093 -ffff8137 -0020a1b3 -00000e93 -00600e13 -45d19a63 -800000b7 -00000113 -0020a1b3 -00100e93 -00700e13 -43d19e63 -800000b7 -ffff8137 -0020a1b3 -00100e93 -00800e13 -43d19263 -00000093 -00008137 -fff10113 -0020a1b3 -00100e93 -00900e13 -41d19463 -800000b7 -fff08093 -00000113 -0020a1b3 -00000e93 -00a00e13 -3fd19663 -800000b7 -fff08093 -00008137 -fff10113 -0020a1b3 -00000e93 -00b00e13 -3dd19663 -800000b7 -00008137 -fff10113 -0020a1b3 -00100e93 -00c00e13 -3bd19863 -800000b7 -fff08093 -ffff8137 -0020a1b3 -00000e93 -00d00e13 -39d19a63 -00000093 -fff00113 -0020a1b3 -00000e93 -00e00e13 -37d19e63 -fff00093 -00100113 -0020a1b3 -00100e93 -00f00e13 -37d19263 -fff00093 -fff00113 -0020a1b3 -00000e93 -01000e13 -35d19663 -00e00093 -00d00113 -0020a0b3 -00000e93 -01100e13 -33d09a63 -00b00093 -00d00113 -0020a133 -00100e93 -01200e13 -31d11e63 -00d00093 -0010a0b3 -00000e93 -01300e13 -31d09463 -00000213 -00b00093 -00d00113 -0020a1b3 -00018313 -00120213 -00200293 -fe5214e3 -00100e93 -01400e13 -2dd31e63 -00000213 -00e00093 -00d00113 -0020a1b3 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -00000e93 -01500e13 -2bd31663 -00000213 -00c00093 -00d00113 -0020a1b3 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5210e3 -00100e93 -01600e13 -27d31c63 -00000213 -00e00093 -00d00113 -0020a1b3 -00120213 -00200293 -fe5216e3 -00000e93 -01700e13 -25d19863 -00000213 -00b00093 -00d00113 -00000013 -0020a1b3 -00120213 -00200293 -fe5214e3 -00100e93 -01800e13 -23d19263 -00000213 -00f00093 -00d00113 -00000013 -00000013 -0020a1b3 -00120213 -00200293 -fe5212e3 -00000e93 -01900e13 -1fd19a63 -00000213 -00a00093 -00000013 -00d00113 -0020a1b3 -00120213 -00200293 -fe5214e3 -00100e93 -01a00e13 -1dd19463 -00000213 -01000093 -00000013 -00d00113 -00000013 -0020a1b3 -00120213 -00200293 -fe5212e3 -00000e93 -01b00e13 -19d19c63 -00000213 -00900093 -00000013 -00000013 -00d00113 -0020a1b3 -00120213 -00200293 -fe5212e3 -00100e93 -01c00e13 -17d19463 -00000213 -00d00113 -01100093 -0020a1b3 -00120213 -00200293 -fe5216e3 -00000e93 -01d00e13 -15d19063 -00000213 -00d00113 -00800093 -00000013 -0020a1b3 -00120213 -00200293 -fe5214e3 -00100e93 -01e00e13 -11d19a63 -00000213 -00d00113 -01200093 -00000013 -00000013 -0020a1b3 -00120213 -00200293 -fe5212e3 -00000e93 -01f00e13 -0fd19263 -00000213 -00d00113 -00000013 -00700093 -0020a1b3 -00120213 -00200293 -fe5214e3 -00100e93 -02000e13 -0bd19c63 -00000213 -00d00113 -00000013 -01300093 -00000013 -0020a1b3 -00120213 -00200293 -fe5212e3 -00000e93 -02100e13 -09d19463 -00000213 -00d00113 -00000013 -00000013 -00600093 -0020a1b3 -00120213 -00200293 -fe5212e3 -00100e93 -02200e13 -05d19c63 -fff00093 -00102133 -00000e93 -02300e13 -05d11263 -fff00093 -0000a133 -00100e93 -02400e13 -03d11863 -000020b3 -00000e93 -02500e13 -03d09063 -01000093 -01e00113 -0020a033 -00000e93 -02600e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -fb8fa06f -00006537 -e3c50513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -0075626c -02e00593 -00b62023 -00b62023 -00003097 -61008093 -0000c183 -0ff00e93 -00200e13 -23d19c63 -00003097 -5f808093 -0010c183 -00000e93 -00300e13 -23d19063 -00003097 -5e008093 -0020c183 -0f000e93 -00400e13 -21d19463 -00003097 -5c808093 -0030c183 -00f00e93 -00500e13 -1fd19863 -00003097 -5b308093 -ffd0c183 -0ff00e93 -00600e13 -1dd19c63 -00003097 -59b08093 -ffe0c183 -00000e93 -00700e13 -1dd19063 -00003097 -58308093 -fff0c183 -0f000e93 -00800e13 -1bd19463 -00003097 -56b08093 -0000c183 -00f00e93 -00900e13 -19d19863 -00003097 -55008093 -fe008093 -0200c183 -0ff00e93 -00a00e13 -17d19a63 -00003097 -53408093 -ffa08093 -0070c183 -00000e93 -00b00e13 -15d19c63 -00c00e13 -00000213 -00003097 -51108093 -0010c183 -00018313 -0f000e93 -13d31c63 -00120213 -00200293 -fe5210e3 -00d00e13 -00000213 -00003097 -4e608093 -0010c183 -00000013 -00018313 -00f00e93 -11d31463 -00120213 -00200293 -fc521ee3 -00e00e13 -00000213 -00003097 -4b408093 -0010c183 -00000013 -00000013 -00018313 -00000e93 -0dd31a63 -00120213 -00200293 -fc521ce3 -00f00e13 -00000213 -00003097 -48108093 -0010c183 -0f000e93 -0bd19663 -00120213 -00200293 -fe5212e3 -01000e13 -00000213 -00003097 -45a08093 -00000013 -0010c183 -00f00e93 -09d19063 -00120213 -00200293 -fe5210e3 -01100e13 -00000213 -00003097 -42c08093 -00000013 -00000013 -0010c183 -00000e93 -05d19863 -00120213 -00200293 -fc521ee3 -00003197 -40418193 -0001c103 -00200113 -00200e93 -01200e13 -03d11463 -00003197 -3e818193 -0001c103 -00000013 -00200113 -00200e93 -01300e13 -01d11463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -c2cfa06f -00006537 -10850513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -0075686c -02e00593 -00b62023 -00b62023 -00003097 -34808093 -0000d183 -0ff00e93 -00200e13 -27d19663 -00003097 -33008093 -0020d183 -00010eb7 -f00e8e93 -00300e13 -25d19863 -00003097 -31408093 -0040d183 -00001eb7 -ff0e8e93 -00400e13 -23d19a63 -00003097 -2f808093 -0060d183 -0000feb7 -00fe8e93 -00500e13 -21d19c63 -00003097 -2e208093 -ffa0d183 -0ff00e93 -00600e13 -21d19063 -00003097 -2ca08093 -ffc0d183 -00010eb7 -f00e8e93 -00700e13 -1fd19263 -00003097 -2ae08093 -ffe0d183 -00001eb7 -ff0e8e93 -00800e13 -1dd19463 -00003097 -29208093 -0000d183 -0000feb7 -00fe8e93 -00900e13 -1bd19663 -00003097 -27008093 -fe008093 -0200d183 -0ff00e93 -00a00e13 -19d19863 -00003097 -25408093 -ffb08093 -0070d183 -00010eb7 -f00e8e93 -00b00e13 -17d19863 -00c00e13 -00000213 -00003097 -22e08093 -0020d183 -00018313 -00001eb7 -ff0e8e93 -15d31663 -00120213 -00200293 -fc521ee3 -00d00e13 -00000213 -00003097 -20008093 -0020d183 -00000013 -00018313 -0000feb7 -00fe8e93 -11d31c63 -00120213 -00200293 -fc521ce3 -00e00e13 -00000213 -00003097 -1c808093 -0020d183 -00000013 -00000013 -00018313 -00010eb7 -f00e8e93 -0fd31063 -00120213 -00200293 -fc521ae3 -00f00e13 -00000213 -00003097 -19208093 -0020d183 -00001eb7 -ff0e8e93 -0bd19a63 -00120213 -00200293 -fe5210e3 -01000e13 -00000213 -00003097 -16808093 -00000013 -0020d183 -0000feb7 -00fe8e93 -09d19263 -00120213 -00200293 -fc521ee3 -01100e13 -00000213 -00003097 -13408093 -00000013 -00000013 -0020d183 -00010eb7 -f00e8e93 -05d19863 -00120213 -00200293 -fc521ce3 -00003197 -10818193 -0001d103 -00200113 -00200e93 -01200e13 -03d11463 -00003197 -0ec18193 -0001d103 -00000013 -00200113 -00200e93 -01300e13 -01d11463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -938fa06f -00006537 -40850513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -0069756c -02e00593 -00b62023 -00b62023 -000000b7 -00000e93 -00200e13 -05d09a63 -fffff0b7 -4010d093 -80000e93 -00300e13 -05d09063 -7ffff0b7 -4140d093 -7ff00e93 -00400e13 -03d09663 -800000b7 -4140d093 -80000e93 -00500e13 -01d09c63 -80000037 -00000e93 -00600e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -fa5f906f -00006537 -4e850513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -006c6c73 -02e00593 -00b62023 -00b62023 -00100093 -00000113 -002091b3 -00100e93 -00200e13 -55d19c63 -00100093 -00100113 -002091b3 -00200e93 -00300e13 -55d19063 -00100093 -00700113 -002091b3 -08000e93 -00400e13 -53d19463 -00100093 -00e00113 -002091b3 -00004eb7 -00500e13 -51d19863 -00100093 -01f00113 -002091b3 -80000eb7 -00600e13 -4fd19c63 -fff00093 -00000113 -002091b3 -fff00e93 -00700e13 -4fd19063 -fff00093 -00100113 -002091b3 -ffe00e93 -00800e13 -4dd19463 -fff00093 -00700113 -002091b3 -f8000e93 -00900e13 -4bd19863 -fff00093 -00e00113 -002091b3 -ffffceb7 -00a00e13 -49d19c63 -fff00093 -01f00113 -002091b3 -80000eb7 -00b00e13 -49d19063 -212120b7 -12108093 -00000113 -002091b3 -21212eb7 -121e8e93 -00c00e13 -47d19063 -212120b7 -12108093 -00100113 -002091b3 -42424eb7 -242e8e93 -00d00e13 -45d19063 -212120b7 -12108093 -00700113 -002091b3 -90909eb7 -080e8e93 -00e00e13 -43d19063 -212120b7 -12108093 -00e00113 -002091b3 -48484eb7 -00f00e13 -41d19263 -212120b7 -12108093 -01f00113 -002091b3 -80000eb7 -01000e13 -3fd19463 -212120b7 -12108093 -fe000113 -002091b3 -21212eb7 -121e8e93 -01100e13 -3dd19463 -212120b7 -12108093 -fe100113 -002091b3 -42424eb7 -242e8e93 -01200e13 -3bd19463 -212120b7 -12108093 -fe700113 -002091b3 -90909eb7 -080e8e93 -01300e13 -39d19463 -212120b7 -12108093 -fee00113 -002091b3 -48484eb7 -01400e13 -37d19663 -212120b7 -12008093 -fff00113 -002091b3 -00000e93 -01500e13 -35d19863 -00100093 -00700113 -002090b3 -08000e93 -01600e13 -33d09c63 -00100093 -00e00113 -00209133 -00004eb7 -01700e13 -33d11063 -00300093 -001090b3 -01800e93 -01800e13 -31d09663 -00000213 -00100093 -00700113 -002091b3 -00018313 -00120213 -00200293 -fe5214e3 -08000e93 -01900e13 -2fd31063 -00000213 -00100093 -00e00113 -002091b3 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -00004eb7 -01a00e13 -2bd31863 -00000213 -00100093 -01f00113 -002091b3 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5210e3 -80000eb7 -01b00e13 -27d31e63 -00000213 -00100093 -00700113 -002091b3 -00120213 -00200293 -fe5216e3 -08000e93 -01c00e13 -25d19a63 -00000213 -00100093 -00e00113 -00000013 -002091b3 -00120213 -00200293 -fe5214e3 -00004eb7 -01d00e13 -23d19463 -00000213 -00100093 -01f00113 -00000013 -00000013 -002091b3 -00120213 -00200293 -fe5212e3 -80000eb7 -01e00e13 -1fd19c63 -00000213 -00100093 -00000013 -00700113 -002091b3 -00120213 -00200293 -fe5214e3 -08000e93 -01f00e13 -1dd19663 -00000213 -00100093 -00000013 -00e00113 -00000013 -002091b3 -00120213 -00200293 -fe5212e3 -00004eb7 -02000e13 -19d19e63 -00000213 -00100093 -00000013 -00000013 -01f00113 -002091b3 -00120213 -00200293 -fe5212e3 -80000eb7 -02100e13 -17d19663 -00000213 -00700113 -00100093 -002091b3 -00120213 -00200293 -fe5216e3 -08000e93 -02200e13 -15d19263 -00000213 -00e00113 -00100093 -00000013 -002091b3 -00120213 -00200293 -fe5214e3 -00004eb7 -02300e13 -11d19c63 -00000213 -01f00113 -00100093 -00000013 -00000013 -002091b3 -00120213 -00200293 -fe5212e3 -80000eb7 -02400e13 -0fd19463 -00000213 -00700113 -00000013 -00100093 -002091b3 -00120213 -00200293 -fe5214e3 -08000e93 -02500e13 -0bd19e63 -00000213 -00e00113 -00000013 -00100093 -00000013 -002091b3 -00120213 -00200293 -fe5212e3 -00004eb7 -02600e13 -09d19663 -00000213 -01f00113 -00000013 -00000013 -00100093 -002091b3 -00120213 -00200293 -fe5212e3 -80000eb7 -02700e13 -05d19e63 -00f00093 -00101133 -00000e93 -02800e13 -05d11463 -02000093 -00009133 -02000e93 -02900e13 -03d11a63 -000010b3 -00000e93 -02a00e13 -03d09263 -40000093 -00001137 -80010113 -00209033 -00000e93 -02b00e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -b15f906f -00007537 -ad450513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -696c6c73 -00000000 -02e00593 -00b62023 -00b62023 -00100093 -00009193 -00100e93 -00200e13 -27d19a63 -00100093 -00109193 -00200e93 -00300e13 -27d19063 -00100093 -00709193 -08000e93 -00400e13 -25d19663 -00100093 -00e09193 -00004eb7 -00500e13 -23d19c63 -00100093 -01f09193 -80000eb7 -00600e13 -23d19263 -fff00093 -00009193 -fff00e93 -00700e13 -21d19863 -fff00093 -00109193 -ffe00e93 -00800e13 -1fd19e63 -fff00093 -00709193 -f8000e93 -00900e13 -1fd19463 -fff00093 -00e09193 -ffffceb7 -00a00e13 -1dd19a63 -fff00093 -01f09193 -80000eb7 -00b00e13 -1dd19063 -212120b7 -12108093 -00009193 -21212eb7 -121e8e93 -00c00e13 -1bd19263 -212120b7 -12108093 -00109193 -42424eb7 -242e8e93 -00d00e13 -19d19463 -212120b7 -12108093 -00709193 -90909eb7 -080e8e93 -00e00e13 -17d19663 -212120b7 -12108093 -00e09193 -48484eb7 -00f00e13 -15d19a63 -212120b7 -12108093 -01f09193 -80000eb7 -01000e13 -13d19e63 -00100093 -00709093 -08000e93 -01100e13 -13d09463 -00000213 -00100093 -00709193 -00018313 -00120213 -00200293 -fe5216e3 -08000e93 -01200e13 -11d31063 -00000213 -00100093 -00e09193 -00000013 -00018313 -00120213 -00200293 -fe5214e3 -00004eb7 -01300e13 -0dd31a63 -00000213 -00100093 -01f09193 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -80000eb7 -01400e13 -0bd31263 -00000213 -00100093 -00709193 -00120213 -00200293 -fe5218e3 -08000e93 -01500e13 -09d19063 -00000213 -00100093 -00000013 -00e09193 -00120213 -00200293 -fe5216e3 -00004eb7 -01600e13 -05d19c63 -00000213 -00100093 -00000013 -00000013 -01f09193 -00120213 -00200293 -fe5214e3 -80000eb7 -01700e13 -03d19663 -01f01093 -00000e93 -01800e13 -01d09e63 -02100093 -01409013 -00000e93 -01900e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -fd0f906f -00007537 -ddc50513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -69646e61 -00000000 -02e00593 -00b62023 -00b62023 -ff0100b7 -f0008093 -f0f0f193 -ff010eb7 -f00e8e93 -00200e13 -1bd19463 -0ff010b7 -ff008093 -0f00f193 -0f000e93 -00300e13 -19d19863 -00ff00b7 -0ff08093 -70f0f193 -00f00e93 -00400e13 -17d19c63 -f00ff0b7 -00f08093 -0f00f193 -00000e93 -00500e13 -17d19063 -ff0100b7 -f0008093 -0f00f093 -00000e93 -00600e13 -15d09463 -00000213 -0ff010b7 -ff008093 -70f0f193 -00018313 -00120213 -00200293 -fe5214e3 -70000e93 -00700e13 -11d31e63 -00000213 -00ff00b7 -0ff08093 -0f00f193 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -0f000e93 -00800e13 -0fd31663 -00000213 -f00ff0b7 -00f08093 -f0f0f193 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -00900e13 -0bd31a63 -00000213 -0ff010b7 -ff008093 -70f0f193 -00120213 -00200293 -fe5216e3 -70000e93 -00a00e13 -09d19663 -00000213 -00ff00b7 -0ff08093 -00000013 -0f00f193 -00120213 -00200293 -fe5214e3 -0f000e93 -00b00e13 -07d19063 -00000213 -f00ff0b7 -00f08093 -00000013 -00000013 -70f0f193 -00120213 -00200293 -fe5212e3 -00f00e93 -00c00e13 -03d19863 -0f007093 -00000e93 -00d00e13 -03d09063 -00ff00b7 -0ff08093 -70f0f013 -00000e93 -00e00e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -d80f906f -00007537 -02050513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -0069726f -02e00593 -00b62023 -00b62023 -ff0100b7 -f0008093 -f0f0e193 -f0f00e93 -00200e13 -1dd19463 -0ff010b7 -ff008093 -0f00e193 -0ff01eb7 -ff0e8e93 -00300e13 -1bd19663 -00ff00b7 -0ff08093 -70f0e193 -00ff0eb7 -7ffe8e93 -00400e13 -19d19863 -f00ff0b7 -00f08093 -0f00e193 -f00ffeb7 -0ffe8e93 -00500e13 -17d19a63 -ff0100b7 -f0008093 -0f00e093 -ff010eb7 -ff0e8e93 -00600e13 -15d09c63 -00000213 -0ff010b7 -ff008093 -0f00e193 -00018313 -00120213 -00200293 -fe5214e3 -0ff01eb7 -ff0e8e93 -00700e13 -13d31463 -00000213 -00ff00b7 -0ff08093 -70f0e193 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -00ff0eb7 -7ffe8e93 -00800e13 -0fd31a63 -00000213 -f00ff0b7 -00f08093 -0f00e193 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -0ffe8e93 -00900e13 -0bd31e63 -00000213 -0ff010b7 -ff008093 -0f00e193 -00120213 -00200293 -fe5216e3 -0ff01eb7 -ff0e8e93 -00a00e13 -09d19863 -00000213 -00ff00b7 -0ff08093 -00000013 -f0f0e193 -00120213 -00200293 -fe5214e3 -fff00e93 -00b00e13 -07d19263 -00000213 -f00ff0b7 -00f08093 -00000013 -00000013 -0f00e193 -00120213 -00200293 -fe5212e3 -f00ffeb7 -0ffe8e93 -00c00e13 -03d19863 -0f006093 -0f000e93 -00d00e13 -03d09063 -00ff00b7 -0ff08093 -70f0e013 -00000e93 -00e00e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -b18f906f -00007537 -27c50513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -696c7273 -00000000 -02e00593 -00b62023 -00b62023 -ffff80b7 -0000d193 -ffff8eb7 -00200e13 -2bd19263 -ffff80b7 -0010d193 -7fffceb7 -00300e13 -29d19863 -ffff80b7 -0070d193 -02000eb7 -f00e8e93 -00400e13 -27d19c63 -ffff80b7 -00e0d193 -00040eb7 -ffee8e93 -00500e13 -27d19063 -ffff80b7 -00108093 -00f0d193 -00020eb7 -fffe8e93 -00600e13 -25d19263 -fff00093 -0000d193 -fff00e93 -00700e13 -23d19863 -fff00093 -0010d193 -80000eb7 -fffe8e93 -00800e13 -21d19c63 -fff00093 -0070d193 -02000eb7 -fffe8e93 -00900e13 -21d19063 -fff00093 -00e0d193 -00040eb7 -fffe8e93 -00a00e13 -1fd19463 -fff00093 -01f0d193 -00100e93 -00b00e13 -1dd19a63 -212120b7 -12108093 -0000d193 -21212eb7 -121e8e93 -00c00e13 -1bd19c63 -212120b7 -12108093 -0010d193 -10909eb7 -090e8e93 -00d00e13 -19d19e63 -212120b7 -12108093 -0070d193 -00424eb7 -242e8e93 -00e00e13 -19d19063 -212120b7 -12108093 -00e0d193 -00008eb7 -484e8e93 -00f00e13 -17d19263 -212120b7 -12108093 -01f0d193 -00000e93 -01000e13 -15d19663 -ffff80b7 -0010d093 -7fffceb7 -01500e13 -13d09c63 -00000213 -ffff80b7 -0010d193 -00018313 -00120213 -00200293 -fe5216e3 -7fffceb7 -01600e13 -11d31863 -00000213 -ffff80b7 -00e0d193 -00000013 -00018313 -00120213 -00200293 -fe5214e3 -00040eb7 -ffee8e93 -01700e13 -0fd31063 -00000213 -ffff80b7 -00f0d193 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -00020eb7 -fffe8e93 -01800e13 -0bd31663 -00000213 -ffff80b7 -0010d193 -00120213 -00200293 -fe5218e3 -7fffceb7 -01900e13 -09d19463 -00000213 -ffff80b7 -00000013 -00e0d193 -00120213 -00200293 -fe5216e3 -00040eb7 -ffee8e93 -01a00e13 -05d19e63 -00000213 -ffff80b7 -00000013 -00000013 -00f0d193 -00120213 -00200293 -fe5214e3 -00020eb7 -fffe8e93 -01b00e13 -03d19663 -01f05093 -00000e93 -01c00e13 -01d09e63 -02100093 -0140d013 -00000e93 -01d00e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -804f906f -00007537 -5b450513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -006c616a -02e00593 -00b62023 -00b62023 -00200e13 -00000093 -010000ef -00000013 -00000013 -0440006f -00000117 -ff010113 -00410113 -02111a63 -00100113 -014000ef -00110113 -00110113 -00110113 -00110113 -00110113 -00110113 -00300e93 -00300e13 -01d11463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -e25f806f -00007537 -68c50513 -10000637 -00050583 -00058c63 -00b62023 -00150513 -ff1ff06f -706d6973 -0000656c -02e00593 -00b62023 -00b62023 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -f5df806f -00007537 -6e050513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00646461 -02e00593 -00b62023 -00b62023 -00000093 -00000113 -002081b3 -00000e93 -00200e13 -4dd19663 -00100093 -00100113 -002081b3 -00200e93 -00300e13 -4bd19a63 -00300093 -00700113 -002081b3 -00a00e93 -00400e13 -49d19e63 -00000093 -ffff8137 -002081b3 -ffff8eb7 -00500e13 -49d19263 -800000b7 -00000113 -002081b3 -80000eb7 -00600e13 -47d19663 -800000b7 -ffff8137 -002081b3 -7fff8eb7 -00700e13 -45d19a63 -00000093 -00008137 -fff10113 -002081b3 -00008eb7 -fffe8e93 -00800e13 -43d19a63 -800000b7 -fff08093 -00000113 -002081b3 -80000eb7 -fffe8e93 -00900e13 -41d19a63 -800000b7 -fff08093 -00008137 -fff10113 -002081b3 -80008eb7 -ffee8e93 -00a00e13 -3fd19863 -800000b7 -00008137 -fff10113 -002081b3 -80008eb7 -fffe8e93 -00b00e13 -3dd19863 -800000b7 -fff08093 -ffff8137 -002081b3 -7fff8eb7 -fffe8e93 -00c00e13 -3bd19863 -00000093 -fff00113 -002081b3 -fff00e93 -00d00e13 -39d19c63 -fff00093 -00100113 -002081b3 -00000e93 -00e00e13 -39d19063 -fff00093 -fff00113 -002081b3 -ffe00e93 -00f00e13 -37d19463 -00100093 -80000137 -fff10113 -002081b3 -80000eb7 -01000e13 -35d19663 -00d00093 -00b00113 -002080b3 -01800e93 -01100e13 -33d09a63 -00e00093 -00b00113 -00208133 -01900e93 -01200e13 -31d11e63 -00d00093 -001080b3 -01a00e93 -01300e13 -31d09463 -00000213 -00d00093 -00b00113 -002081b3 -00018313 -00120213 -00200293 -fe5214e3 -01800e93 -01400e13 -2dd31e63 -00000213 -00e00093 -00b00113 -002081b3 -00000013 -00018313 -00120213 -00200293 -fe5212e3 -01900e93 -01500e13 -2bd31663 -00000213 -00f00093 -00b00113 -002081b3 -00000013 -00000013 -00018313 -00120213 -00200293 -fe5210e3 -01a00e93 -01600e13 -27d31c63 -00000213 -00d00093 -00b00113 -002081b3 -00120213 -00200293 -fe5216e3 -01800e93 -01700e13 -25d19863 -00000213 -00e00093 -00b00113 -00000013 -002081b3 -00120213 -00200293 -fe5214e3 -01900e93 -01800e13 -23d19263 -00000213 -00f00093 -00b00113 -00000013 -00000013 -002081b3 -00120213 -00200293 -fe5212e3 -01a00e93 -01900e13 -1fd19a63 -00000213 -00d00093 -00000013 -00b00113 -002081b3 -00120213 -00200293 -fe5214e3 -01800e93 -01a00e13 -1dd19463 -00000213 -00e00093 -00000013 -00b00113 -00000013 -002081b3 -00120213 -00200293 -fe5212e3 -01900e93 -01b00e13 -19d19c63 -00000213 -00f00093 -00000013 -00000013 -00b00113 -002081b3 -00120213 -00200293 -fe5212e3 -01a00e93 -01c00e13 -17d19463 -00000213 -00b00113 -00d00093 -002081b3 -00120213 -00200293 -fe5216e3 -01800e93 -01d00e13 -15d19063 -00000213 -00b00113 -00e00093 -00000013 -002081b3 -00120213 -00200293 -fe5214e3 -01900e93 -01e00e13 -11d19a63 -00000213 -00b00113 -00f00093 -00000013 -00000013 -002081b3 -00120213 -00200293 -fe5212e3 -01a00e93 -01f00e13 -0fd19263 -00000213 -00b00113 -00000013 -00d00093 -002081b3 -00120213 -00200293 -fe5214e3 -01800e93 -02000e13 -0bd19c63 -00000213 -00b00113 -00000013 -00e00093 -00000013 -002081b3 -00120213 -00200293 -fe5212e3 -01900e93 -02100e13 -09d19463 -00000213 -00b00113 -00000013 -00000013 -00f00093 -002081b3 -00120213 -00200293 -fe5212e3 -01a00e93 -02200e13 -05d19c63 -00f00093 -00100133 -00f00e93 -02300e13 -05d11263 -02000093 -00008133 -02000e93 -02400e13 -03d11863 -000000b3 -00000e93 -02500e13 -03d09063 -01000093 -01e00113 -00208033 -00000e93 -02600e13 -01d01463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -991f806f -00008537 -c4050513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00006273 -02e00593 -00b62023 -00b62023 -00002097 -81808093 -faa00113 -00208023 -00008183 -faa00e93 -00200e13 -3dd19c63 -00001097 -7f808093 -00000113 -002080a3 -00108183 -00000e93 -00300e13 -3bd19c63 -00001097 -7d808093 -fffff137 -fa010113 -00208123 -00209183 -fffffeb7 -fa0e8e93 -00400e13 -39d19863 -00001097 -7b008093 -00a00113 -002081a3 -00308183 -00a00e93 -00500e13 -37d19863 -00001097 -79708093 -faa00113 -fe208ea3 -ffd08183 -faa00e93 -00600e13 -35d19863 -00001097 -77708093 -00000113 -fe208f23 -ffe08183 -00000e93 -00700e13 -33d19863 -00001097 -75708093 -fa000113 -fe208fa3 -fff08183 -fa000e93 -00800e13 -31d19863 -00001097 -73708093 -00a00113 -00208023 -00008183 -00a00e93 -00900e13 -2fd19863 -00001097 -71808093 -12345137 -67810113 -fe008213 -02220023 -00008183 -07800e93 -00a00e13 -2dd19463 -00001097 -6f008093 -00003137 -09810113 -ffa08093 -002083a3 -00001217 -6d920213 -00020183 -f9800e93 -00b00e13 -29d19c63 -00c00e13 -00000213 -fdd00093 -00001117 -6ac10113 -00110023 -00010183 -fdd00e93 -27d19a63 -00120213 -00200293 -fc521ee3 -00d00e13 -00000213 -fcd00093 -00001117 -67c10113 -00000013 -001100a3 -00110183 -fcd00e93 -25d19063 -00120213 -00200293 -fc521ce3 -00e00e13 -00000213 -fcc00093 -00001117 -64810113 -00000013 -00000013 -00110123 -00210183 -fcc00e93 -21d19463 -00120213 -00200293 -fc521ae3 -00f00e13 -00000213 -fbc00093 -00000013 -00001117 -60c10113 -001101a3 -00310183 -fbc00e93 -1dd19a63 -00120213 -00200293 -fc521ce3 -01000e13 -00000213 -fbb00093 -00000013 -00001117 -5d810113 -00000013 -00110223 -00410183 -fbb00e93 -19d19e63 -00120213 -00200293 -fc521ae3 -01100e13 -00000213 -fab00093 -00000013 -00000013 -00001117 -59c10113 -001102a3 -00510183 -fab00e93 -17d19263 -00120213 -00200293 -fc521ae3 -01200e13 -00000213 -00001117 -57010113 -03300093 -00110023 -00010183 -03300e93 -13d19a63 -00120213 -00200293 -fc521ee3 -01300e13 -00000213 -00001117 -54010113 -02300093 -00000013 -001100a3 -00110183 -02300e93 -11d19063 -00120213 -00200293 -fc521ce3 -01400e13 -00000213 -00001117 -50c10113 -02200093 -00000013 -00000013 -00110123 -00210183 -02200e93 -0dd19463 -00120213 -00200293 -fc521ae3 -01500e13 -00000213 -00001117 -4d410113 -00000013 -01200093 -001101a3 -00310183 -01200e93 -09d19a63 -00120213 -00200293 -fc521ce3 -01600e13 -00000213 -00001117 -4a010113 -00000013 -01100093 -00000013 -00110223 -00410183 -01100e93 -05d19e63 -00120213 -00200293 -fc521ae3 -01700e13 -00000213 -00001117 -46810113 -00000013 -00000013 -00100093 -001102a3 -00510183 -00100e93 -03d19263 -00120213 -00200293 -fc521ae3 -0ef00513 -00001597 -43458593 -00a581a3 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -c98f806f -00008537 -0b450513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00006873 -02e00593 -00b62023 -00b62023 -00001097 -3b008093 -0aa00113 -00209023 -00009183 -0aa00e93 -00200e13 -45d19e63 -00001097 -39008093 -ffffb137 -a0010113 -00209123 -00209183 -ffffbeb7 -a00e8e93 -00300e13 -43d19a63 -00001097 -36808093 -beef1137 -aa010113 -00209223 -0040a183 -beef1eb7 -aa0e8e93 -00400e13 -41d19663 -00001097 -34008093 -ffffa137 -00a10113 -00209323 -00609183 -ffffaeb7 -00ae8e93 -00500e13 -3fd19263 -00001097 -32608093 -0aa00113 -fe209d23 -ffa09183 -0aa00e93 -00600e13 -3dd19263 -00001097 -30608093 -ffffb137 -a0010113 -fe209e23 -ffc09183 -ffffbeb7 -a00e8e93 -00700e13 -39d19e63 -00001097 -2de08093 -00001137 -aa010113 -fe209f23 -ffe09183 -00001eb7 -aa0e8e93 -00800e13 -37d19a63 -00001097 -2b608093 -ffffa137 -00a10113 -00209023 -00009183 -ffffaeb7 -00ae8e93 -00900e13 -35d19663 -00001097 -29008093 -12345137 -67810113 -fe008213 -02221023 -00009183 -00005eb7 -678e8e93 -00a00e13 -33d19063 -00001097 -26408093 -00003137 -09810113 -ffb08093 -002093a3 -00001217 -24e20213 -00021183 -00003eb7 -098e8e93 -00b00e13 -2fd19663 -00c00e13 -00000213 -ffffd0b7 -cdd08093 -00001117 -21010113 -00111023 -00011183 -ffffdeb7 -cdde8e93 -2dd19063 -00120213 -00200293 -fc521ae3 -00d00e13 -00000213 -ffffc0b7 -ccd08093 -00001117 -1d810113 -00000013 -00111123 -00211183 -ffffceb7 -ccde8e93 -29d19263 -00120213 -00200293 -fc5218e3 -00e00e13 -00000213 -ffffc0b7 -bcc08093 -00001117 -19c10113 -00000013 -00000013 -00111223 -00411183 -ffffceb7 -bcce8e93 -25d19263 -00120213 -00200293 -fc5216e3 -00f00e13 -00000213 -ffffb0b7 -bbc08093 -00000013 -00001117 -15810113 -00111323 -00611183 -ffffbeb7 -bbce8e93 -21d19463 -00120213 -00200293 -fc5218e3 -01000e13 -00000213 -ffffb0b7 -abb08093 -00000013 -00001117 -11c10113 -00000013 -00111423 -00811183 -ffffbeb7 -abbe8e93 -1dd19463 -00120213 -00200293 -fc5216e3 -01100e13 -00000213 -ffffe0b7 -aab08093 -00000013 -00000013 -00001117 -0d810113 -00111523 -00a11183 -ffffeeb7 -aabe8e93 -19d19463 -00120213 -00200293 -fc5216e3 -01200e13 -00000213 -00001117 -0a810113 -000020b7 -23308093 -00111023 -00011183 -00002eb7 -233e8e93 -15d19863 -00120213 -00200293 -fc521ae3 -01300e13 -00000213 -00001117 -07010113 -000010b7 -22308093 -00000013 -00111123 -00211183 -00001eb7 -223e8e93 -11d19a63 -00120213 -00200293 -fc5218e3 -01400e13 -00000213 -00001117 -03410113 -000010b7 -12208093 -00000013 -00000013 -00111223 -00411183 -00001eb7 -122e8e93 -0dd19a63 -00120213 -00200293 -fc5216e3 -01500e13 -00000213 -00001117 -ff410113 -00000013 -11200093 -00111323 -00611183 -11200e93 -0bd19063 -00120213 -00200293 -fc521ce3 -01600e13 -00000213 -00001117 -fc010113 -00000013 -01100093 -00000013 -00111423 -00811183 -01100e93 -07d19463 -00120213 -00200293 -fc521ae3 -01700e13 -00000213 -00001117 -f8810113 -00000013 -00000013 -000030b7 -00108093 -00111523 -00a11183 -00003eb7 -001e8e93 -03d19463 -00120213 -00200293 -fc5216e3 -0000c537 -eef50513 -00001597 -f4858593 -00a59323 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -fadf706f -00008537 -5ac50513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -0000006a -02e00593 -00b62023 -00b62023 -00200e13 -0080006f -0340006f -00100093 -0140006f -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -00300e13 -01d09463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -e3df706f -00008537 -66850513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00656e62 -02e00593 -00b62023 -00b62023 -00200e13 -00000093 -00100113 -00209663 -2bc01a63 -01c01663 -fe209ee3 -2bc01463 -00300e13 -00100093 -00000113 -00209663 -29c01a63 -01c01663 -fe209ee3 -29c01463 -00400e13 -fff00093 -00100113 -00209663 -27c01a63 -01c01663 -fe209ee3 -27c01463 -00500e13 -00100093 -fff00113 -00209663 -25c01a63 -01c01663 -fe209ee3 -25c01463 -00600e13 -00000093 -00000113 -00209463 -01c01463 -23c01863 -fe209ee3 -00700e13 -00100093 -00100113 -00209463 -01c01463 -21c01a63 -fe209ee3 -00800e13 -fff00093 -fff00113 -00209463 -01c01463 -1fc01c63 -fe209ee3 -00900e13 -00000213 -00000093 -00000113 -1e209063 -00120213 -00200293 -fe5216e3 -00a00e13 -00000213 -00000093 -00000113 -00000013 -1a209e63 -00120213 -00200293 -fe5214e3 -00b00e13 -00000213 -00000093 -00000113 -00000013 -00000013 -18209a63 -00120213 -00200293 -fe5212e3 -00c00e13 -00000213 -00000093 -00000013 -00000113 -16209863 -00120213 -00200293 -fe5214e3 -00d00e13 -00000213 -00000093 -00000013 -00000113 -00000013 -14209463 -00120213 -00200293 -fe5212e3 -00e00e13 -00000213 -00000093 -00000013 -00000013 -00000113 -12209063 -00120213 -00200293 -fe5212e3 -00f00e13 -00000213 -00000093 -00000113 -10209063 -00120213 -00200293 -fe5216e3 -01000e13 -00000213 -00000093 -00000113 -00000013 -0c209e63 -00120213 -00200293 -fe5214e3 -01100e13 -00000213 -00000093 -00000113 -00000013 -00000013 -0a209a63 -00120213 -00200293 -fe5212e3 -01200e13 -00000213 -00000093 -00000013 -00000113 -08209863 -00120213 -00200293 -fe5214e3 -01300e13 -00000213 -00000093 -00000013 -00000113 -00000013 -06209463 -00120213 -00200293 -fe5212e3 -01400e13 -00000213 -00000093 -00000013 -00000013 -00000113 -04209063 -00120213 -00200293 -fe5212e3 -00100093 -00009a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -01500e13 -01d09463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -b29f706f -00009537 -9ac50513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00656762 -02e00593 -00b62023 -00b62023 -00200e13 -00000093 -00000113 -0020d663 -31c01863 -01c01663 -fe20dee3 -31c01263 -00300e13 -00100093 -00100113 -0020d663 -2fc01863 -01c01663 -fe20dee3 -2fc01263 -00400e13 -fff00093 -fff00113 -0020d663 -2dc01863 -01c01663 -fe20dee3 -2dc01263 -00500e13 -00100093 -00000113 -0020d663 -2bc01863 -01c01663 -fe20dee3 -2bc01263 -00600e13 -00100093 -fff00113 -0020d663 -29c01863 -01c01663 -fe20dee3 -29c01263 -00700e13 -fff00093 -ffe00113 -0020d663 -27c01863 -01c01663 -fe20dee3 -27c01263 -00800e13 -00000093 -00100113 -0020d463 -01c01463 -25c01663 -fe20dee3 -00900e13 -fff00093 -00100113 -0020d463 -01c01463 -23c01863 -fe20dee3 -00a00e13 -ffe00093 -fff00113 -0020d463 -01c01463 -21c01a63 -fe20dee3 -00b00e13 -ffe00093 -00100113 -0020d463 -01c01463 -1fc01c63 -fe20dee3 -00c00e13 -00000213 -fff00093 -00000113 -1e20d063 -00120213 -00200293 -fe5216e3 -00d00e13 -00000213 -fff00093 -00000113 -00000013 -1a20de63 -00120213 -00200293 -fe5214e3 -00e00e13 -00000213 -fff00093 -00000113 -00000013 -00000013 -1820da63 -00120213 -00200293 -fe5212e3 -00f00e13 -00000213 -fff00093 -00000013 -00000113 -1620d863 -00120213 -00200293 -fe5214e3 -01000e13 -00000213 -fff00093 -00000013 -00000113 -00000013 -1420d463 -00120213 -00200293 -fe5212e3 -01100e13 -00000213 -fff00093 -00000013 -00000013 -00000113 -1220d063 -00120213 -00200293 -fe5212e3 -01200e13 -00000213 -fff00093 -00000113 -1020d063 -00120213 -00200293 -fe5216e3 -01300e13 -00000213 -fff00093 -00000113 -00000013 -0c20de63 -00120213 -00200293 -fe5214e3 -01400e13 -00000213 -fff00093 -00000113 -00000013 -00000013 -0a20da63 -00120213 -00200293 -fe5212e3 -01500e13 -00000213 -fff00093 -00000013 -00000113 -0820d863 -00120213 -00200293 -fe5214e3 -01600e13 -00000213 -fff00093 -00000013 -00000113 -00000013 -0620d463 -00120213 -00200293 -fe5212e3 -01700e13 -00000213 -fff00093 -00000013 -00000013 -00000113 -0420d063 -00120213 -00200293 -fe5212e3 -00100093 -0000da63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -01800e13 -01d09463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -fa0f706f -00009537 -d4c50513 -10000637 -00050583 -00058a63 -00b62023 -00150513 -ff1ff06f -00746c62 -02e00593 -00b62023 -00b62023 -00200e13 -00000093 -00100113 -0020c663 -2bc01863 -01c01663 -fe20cee3 -2bc01263 -00300e13 -fff00093 -00100113 -0020c663 -29c01863 -01c01663 -fe20cee3 -29c01263 -00400e13 -ffe00093 -fff00113 -0020c663 -27c01863 -01c01663 -fe20cee3 -27c01263 -00500e13 -00100093 -00000113 -0020c463 -01c01463 -25c01663 -fe20cee3 -00600e13 -00100093 -fff00113 -0020c463 -01c01463 -23c01863 -fe20cee3 -00700e13 -fff00093 -ffe00113 -0020c463 -01c01463 -21c01a63 -fe20cee3 -00800e13 -00100093 -ffe00113 -0020c463 -01c01463 -1fc01c63 -fe20cee3 -00900e13 -00000213 -00000093 -fff00113 -1e20c063 -00120213 -00200293 -fe5216e3 -00a00e13 -00000213 -00000093 -fff00113 -00000013 -1a20ce63 -00120213 -00200293 -fe5214e3 -00b00e13 -00000213 -00000093 -fff00113 -00000013 -00000013 -1820ca63 -00120213 -00200293 -fe5212e3 -00c00e13 -00000213 -00000093 -00000013 -fff00113 -1620c863 -00120213 -00200293 -fe5214e3 -00d00e13 -00000213 -00000093 -00000013 -fff00113 -00000013 -1420c463 -00120213 -00200293 -fe5212e3 -00e00e13 -00000213 -00000093 -00000013 -00000013 -fff00113 -1220c063 -00120213 -00200293 -fe5212e3 -00f00e13 -00000213 -00000093 -fff00113 -1020c063 -00120213 -00200293 -fe5216e3 -01000e13 -00000213 -00000093 -fff00113 -00000013 -0c20ce63 -00120213 -00200293 -fe5214e3 -01100e13 -00000213 -00000093 -fff00113 -00000013 -00000013 -0a20ca63 -00120213 -00200293 -fe5212e3 -01200e13 -00000213 -00000093 -00000013 -fff00113 -0820c863 -00120213 -00200293 -fe5214e3 -01300e13 -00000213 -00000093 -00000013 -fff00113 -00000013 -0620c463 -00120213 -00200293 -fe5212e3 -01400e13 -00000213 -00000093 -00000013 -00000013 -fff00113 -0420c063 -00120213 -00200293 -fe5212e3 -00100093 -00104a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -01500e13 -01d09463 -03c01a63 -10000537 -04500593 -05200613 -04f00693 -00a00713 -00b52023 -00c52023 -00c52023 -00d52023 -00c52023 -00e52023 -00100073 -10000537 -04f00593 -04b00613 -00a00693 -00b52023 -00c52023 -00d52023 -c54f706f -00050613 -00000513 -0015f693 -00068463 -00c50533 -0015d593 -00161613 -fe0596e3 -00008067 -00008293 -00050f13 -00058513 -00068f93 -000f0593 -00060713 -00000793 -00000393 -00000e93 -00b38833 -00177e13 -00179893 -0005a313 -00175713 -00fe87b3 -000e0863 -00783e33 -00080393 -00fe0eb3 -00159593 -011367b3 -fc0718e3 -00050863 -00060593 -f7dff0ef -00ae8eb3 -000f8a63 -000f8593 -000f0513 -f69ff0ef -00ae8eb3 -00038513 -000e8593 -00028067 -06054063 -0605c663 -00058613 -00050593 -fff00513 -02060c63 -00100693 -00b67a63 -00c05863 -00161613 -00169693 -feb66ae3 -00000513 -00c5e663 -40c585b3 -00d56533 -0016d693 -00165613 -fe0696e3 -00008067 -00008293 -fb5ff0ef -00058513 -00028067 -40a00533 -0005d863 -40b005b3 -f9dff06f -40b005b3 -00008293 -f91ff0ef -40a00533 -00028067 -00008293 -0005ca63 -00054c63 -f79ff0ef -00058513 -00028067 -40b005b3 -fe0558e3 -40a00533 -f61ff0ef -40b00533 -00028067 -20202020 -00000000 -6d73694d -68637461 -74656220 -6e656577 -20307120 -2042534c -20646e61 -6f636564 -20646564 -74736e69 -74637572 -206e6f69 -64726f77 -30712021 -0078303d -6e69202c -3d727473 -00007830 -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -2d2d2d2d -0000000a -45524245 -69204b41 -7274736e -69746375 -61206e6f -78302074 -00000000 -656c6c49 -206c6167 -74736e49 -74637572 -206e6f69 -30207461 -00000078 -7830203a -00000000 -20737542 -6f727265 -6e692072 -736e4920 -63757274 -6e6f6974 -20746120 -00007830 -20206370 -00000000 -626d754e -6f207265 -61662066 -65207473 -72657478 -206c616e -73515249 -756f6320 -6465746e -0000203a -626d754e -6f207265 -6c732066 -6520776f -72657478 -206c616e -73515249 -756f6320 -6465746e -0000203a -626d754e -6f207265 -69742066 -2072656d -73515249 -756f6320 -6465746e -0000203a -00000000 -00000000 -00000000 -3a434347 -4e472820 -37202955 -302e322e -00000000 -33323130 -37363534 -42413938 -46454443 -00000000 -00000020 -00007473 -0000646e -00006472 -00006874 -69727020 -6920656d -00002073 -00000a2e -63656863 -6d75736b -0000203a -0a4b4f20 -00000000 -52524520 -000a524f -00000000 -00000000 -00000000 -75706e69 -005b2074 -0000205d -00005b20 -64726168 -00202020 -74666f73 -00202020 -00002020 -12b9b0a1 -6c637943 -6f632065 -65746e75 -2e2e2072 -2e2e2e2e -00002e2e -736e490a -63757274 -6e6f6974 -756f6320 -7265746e -002e2e20 -4950430a -0000203a -0000002e -0ff000ff -ff0000ff -f00f0ff0 -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00ff00ff -ff00ff00 -0ff00ff0 -f00ff00f -0ff000ff -ff0000ff -f00f0ff0 -efefefef -efefefef -0000efef -beefbeef -beefbeef -beefbeef -beefbeef -beefbeef -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 diff --git a/hellomin.hex b/hellomin.hex deleted file mode 100644 index 5350f3b..0000000 --- a/hellomin.hex +++ /dev/null @@ -1,2048 +0,0 @@ -00000297 -01028293 -30529073 -6d40006f -fb010113 -00112023 -00312223 -00412423 -00512623 -00612823 -00712a23 -01c12c23 -01d12e23 -03e12023 -03f12223 -02a12423 -02b12623 -02c12823 -02d12a23 -02e12c23 -02f12e23 -05012023 -05112223 -341022f3 -04512423 -300022f3 -04512623 -1c4000ef -00000313 -02051e63 -342022f3 -800003b7 -fff38393 -0072f2b3 -00b00313 -00628a63 -00010513 -00000097 -12c08093 -57c0006f -04812283 -00428293 -04512423 -0880006f -00010293 -00002397 -bb038393 -0043a103 -ff010113 -00512023 -0003ae03 -001e0e13 -01c3a023 -00030863 -00000097 -03c08093 -5cc0006f -34202573 -800002b7 -fff28293 -00557533 -12c000ef -00000297 -17828293 -00351513 -00a282b3 -0002a503 -0042a303 -000300e7 -00002317 -b5030313 -00032383 -fff38393 -00732023 -00012283 -00028113 -0900006f -00002297 -b3028293 -0082a303 -02832823 -02932a23 -03232c23 -03332e23 -05432023 -05532223 -05632423 -05732623 -05832823 -05932a23 -05a32c23 -05b32e23 -02232623 -00002397 -9c438393 -0003ae03 -07c32823 -01c2a303 -0062a423 -02c32103 -03032403 -03432483 -03832903 -03c32983 -04032a03 -04432a83 -04832b03 -04c32b83 -05032c03 -05432c83 -05832d03 -05c32d83 -04812283 -34129073 -04c12283 -30029073 -00012083 -00412183 -00812203 -00c12283 -01012303 -01412383 -01812e03 -01c12e83 -02012f03 -02412f83 -02812503 -02c12583 -03012603 -03412683 -03812703 -03c12783 -04012803 -04412883 -05010113 -30200073 -00100313 -00a312b3 -3442b373 -00008067 -342022f3 -80000337 -0062f2b3 -00000513 -00028463 -00150513 -00008067 -00000073 -00002297 -a1428293 -0082a303 -07032383 -00857513 -300522f3 -00038513 -00008067 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000d54 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -00000000 -00000678 -40a007b3 -00a7f7b3 -00010737 -02e7fc63 -0ff00713 -00700693 -00800513 -00f76663 -fff00693 -00000513 -00001737 -00a7d7b3 -67c70713 -00e787b3 -0007c503 -00d50533 -00008067 -01000737 -01700693 -01800513 -fce7fce3 -01000513 -00001737 -00a7d7b3 -67c70713 -00e787b3 -0007c503 -00f00693 -00d50533 -00008067 -000015b7 -00001537 -77c58593 -78450513 -02d0006f -00800793 -3007a7f3 -10500073 -00008067 -00100793 -00a79533 -30452573 -00008067 -00100793 -00a79533 -30453573 -00008067 -00800793 -3007b7f3 -30405073 -34405073 -00008067 -ff010113 -00112623 -00812423 -00600793 -02f50463 -09c010ef -02050463 -094010ef -04051663 -000025b7 -97058593 -00002537 -98450513 -7b0000ef -f85ff0ef -ffdff06f -080010ef -fc051ce3 -00002437 -c6440413 -00842583 -00002537 -9a450513 -788000ef -00842503 -0f0010ef -fd1ff06f -000025b7 -96c58593 -fb9ff06f -fb010113 -04812423 -04912223 -04112623 -00600793 -00050493 -00058413 -0ea7e063 -00001737 -00251793 -79870713 -00e787b3 -0007a783 -00078067 -00001537 -7f050513 -72c000ef -5cd000ef -04442583 -01042883 -00c42803 -00842783 -00442703 -00042683 -04842603 -02b12823 -04042583 -02b12623 -03c42583 -02b12423 -03842583 -02b12223 -03442583 -02b12023 -03042583 -00b12e23 -02c42583 -00b12c23 -02842583 -00b12a23 -02442583 -00b12823 -02042583 -00b12623 -01c42583 -00b12423 -01842583 -00b12223 -01442583 -00b12023 -00050593 -00002537 -87450513 -698000ef -00040593 -00048513 -ea9ff0ef -00002537 -81850513 -f55ff06f -00002537 -83450513 -f49ff06f -00002537 -00048593 -85050513 -664000ef -f39ff06f -ff010113 -00812423 -00112623 -00050413 -34202673 -800007b7 -fff7c793 -00f67633 -00500793 -02c7e863 -00001737 -00261793 -7b470713 -00f707b3 -0007a583 -00001537 -7d450513 -618000ef -00040593 -00000513 -ea1ff0ef -000015b7 -7cc58593 -fe1ff06f -ff010113 -00112623 -342025f3 -800007b7 -fff7c793 -00002537 -00f5f5b3 -aa450513 -5dc000ef -000025b7 -9cc58593 -00400513 -e61ff0ef -000027b7 -c607a703 -00070c63 -c607a303 -c607a023 -000027b7 -b707a503 -00030067 -00008067 -ff010113 -00112623 -101000ef -11d000ef -00001117 -6b010113 -000012b7 -80028293 -00510133 -fddff0ef -00000513 -00008067 -0005a783 -00178793 -00f5a023 -000027b7 -b347a303 -00030067 -fb010113 -04812423 -03412c23 -03512a23 -03612823 -00100793 -04112623 -04912223 -05212023 -03312e23 -03712623 -03812423 -03912223 -03a12023 -01b12e23 -00050a13 -00058a93 -00068b13 -00078413 -00e05463 -00070413 -02000c93 -00fb1463 -03000c93 -00100913 -3b9ad9b7 -00060493 -00a00d13 -00000713 -9ff98993 -00090b93 -00200d93 -00198c13 -00071463 -0899f663 -0384d533 -000a8593 -00190913 -03050513 -000a00e7 -000b8713 -00a00793 -fffd0d13 -0384f4b3 -02f9d9b3 -fd7d16e3 -000a8593 -03048513 -000a00e7 -00300793 -00fb1863 -41240433 -02000493 -06804263 -04c12083 -04812403 -04412483 -04012903 -03c12983 -03812a03 -03412a83 -03012b03 -02c12b83 -02812c03 -02412c83 -02012d03 -01c12d83 -05010113 -00008067 -f9a448e3 -f96de6e3 -000a8593 -000c8513 -00e12623 -000a00e7 -00190913 -00c12703 -f71ff06f -000a8593 -00048513 -000a00e7 -fff40413 -f8dff06f -000027b7 -b2a7aa23 -00008067 -fb010113 -04812423 -04912223 -05212023 -03312e23 -03412c23 -03512a23 -03612823 -03712623 -03912223 -03a12023 -04112623 -03812423 -01b12e23 -00050413 -00058493 -00060b93 -00068d13 -00000a93 -fff00913 -00000993 -00000c93 -00100a13 -03000b13 -000bc503 -04051063 -04c12083 -04812403 -04412483 -04012903 -03c12983 -03812a03 -03412a83 -03012b03 -02c12b83 -02812c03 -02412c83 -02012d03 -01c12d83 -05010113 -00008067 -000c9e63 -02500693 -30d50463 -00048593 -000400e7 -001b8b93 -fa5ff06f -06400693 -12d50063 -04a6ee63 -03900693 -02a6e663 -03100693 -0ed57663 -02d00693 -0cd50263 -0d650463 -02500713 -02e51063 -00048593 -000400e7 -12c0006f -05800693 -18d50263 -06300713 -28e50c63 -00048593 -02500513 -000400e7 -00048593 -000bc503 -fd5ff06f -07000693 -12d50e63 -02a6e063 -06900693 -0ad50863 -06c00693 -0ad50063 -06800693 -f6d50ae3 -fc5ff06f -07500693 -0ed50863 -04a6e063 -07300713 -fae518e3 -004d0c13 -000d2d03 -000d0c93 -000cc503 -20051663 -00300793 -00f99a63 -41ac8cb3 -41990cb3 -02000d13 -21904263 -000c0d13 -0940006f -07800693 -0ed50663 -07a00693 -fa5ff06f -00300993 -f11ff06f -00095e63 -20098c63 -fd050913 -f00990e3 -00200993 -ef9ff06f -fe0948e3 -00a00693 -02d90933 -00a90933 -fd090913 -fe1ff06f -001a8a93 -ed9ff06f -055a4463 -000d2603 -004d0d13 -02065063 -00c12223 -00048593 -02d00513 -000400e7 -00412603 -fff90913 -40c00633 -00090713 -00098693 -00048593 -00040513 -c89ff0ef -00000c93 -e91ff06f -007d0793 -ff87f713 -00870d13 -00072603 -fb5ff06f -015a4863 -000d2603 -004d0d13 -fc5ff06f -007d0793 -ff87f713 -00870d13 -00072603 -fb1ff06f -00048593 -000b0513 -000400e7 -00048593 -07800513 -000400e7 -00800913 -000a0993 -055a4663 -000d2783 -004d0d13 -00f12223 -00800813 -00000c13 -00012623 -00080d93 -00412783 -fffd8d93 -002d9693 -00d7d6b3 -00f6f693 -02069c63 -00c12783 -00079463 -080d9263 -000b0513 -0300006f -007d0793 -ff87f693 -0006a783 -00868d13 -00f12223 -fb1ff06f -00812803 -fb9ff06f -00900793 -05700513 -fcd7f8e3 -01012423 -00048593 -00a68533 -000400e7 -001c0c13 -01912623 -fc0d9ae3 -00300693 -00000c93 -d8d99ce3 -00191713 -41870c33 -02000c93 -ef805ae3 -00048593 -000c8513 -000400e7 -fffc0c13 -fedff06f -fff80793 -00f12423 -fd0942e3 -01499a63 -00048593 -000b0513 -000400e7 -fb1ff06f -00200793 -faf994e3 -00048593 -02000513 -fe9ff06f -00048593 -001c8c93 -000400e7 -de5ff06f -00048593 -000d0513 -000400e7 -fffc8c93 -dedff06f -000d2503 -00048593 -004d0c13 -000400e7 -dddff06f -00000a93 -fff00913 -00000993 -000a0c93 -cf5ff06f -000a0993 -cedff06f -fe010113 -00050613 -00000537 -00058693 -70050513 -00c10593 -00112e23 -00012623 -c11ff0ef -01c12083 -00c12503 -02010113 -00008067 -fc010113 -02b12223 -02410593 -00112e23 -02c12423 -02d12623 -02e12823 -02f12a23 -03012c23 -03112e23 -00b12623 -fa1ff0ef -01c12083 -04010113 -00008067 -00008067 -ff010113 -00812423 -00912223 -00002437 -00112623 -00a00793 -00050493 -b7440413 -00f51c63 -00042503 -00d00593 -00452783 -0047a783 -000780e7 -00042503 -0ff4f593 -00452783 -0047a783 -000780e7 -00c12083 -00048513 -00812403 -00412483 -01010113 -00008067 -00001537 -cb450513 -b45ff06f -00002537 -ff010113 -acc50513 -00112623 -208000ef -000027b7 -b6a7aa23 -fd9ff0ef -00c12083 -00000513 -01010113 -00008067 -000027b7 -b407a503 -ff010113 -00112623 -5c0000ef -00700513 -f00ff0ef -f0100737 -000027b7 -b447a783 -f4072683 -f4472583 -41f7d613 -00d786b3 -00f6b7b3 -00b60633 -00c12083 -00c787b3 -f4d72423 -f4f72623 -00700513 -01010113 -eb0ff06f -ff010113 -00700513 -00112623 -eb0ff0ef -f0100737 -000027b7 -b447a783 -f4072683 -f4472583 -41f7d613 -00d786b3 -00f6b7b3 -00b60633 -00c787b3 -f4d72423 -00700513 -f4f72623 -e68ff0ef -00c12083 -00000513 -01010113 -00008067 -00054783 -0005c703 -00e79663 -00079863 -00078713 -40e78533 -00008067 -00150513 -00158593 -fddff06f -0ff5f813 -00050793 -0037f713 -04071263 -0ff5f593 -00859713 -00e5e5b3 -01059713 -00e5e5b3 -00300893 -00078713 -40e606b3 -00d786b3 -02d8e863 -ffc67713 -00e787b3 -00367613 -00c78633 -02c79463 -00008067 -fe060ee3 -00178793 -ff078fa3 -fff60613 -fa9ff06f -00470713 -feb72e23 -fc1ff06f -00178793 -ff078fa3 -fd1ff06f -100007b7 -00b7a023 -00058513 -00008067 -100007b7 -0007a783 -00000513 -00f58023 -00008067 -00000513 -00008067 -00251713 -000027b7 -00150513 -af078793 -00251513 -ff010113 -00e78733 -00a787b3 -00812423 -00912223 -00072403 -0007a483 -00112623 -00946c63 -00c12083 -00812403 -00412483 -01010113 -00008067 -00042783 -00040513 -00c40413 -0047a783 -000780e7 -fd5ff06f -ff010113 -000027b7 -00912223 -000024b7 -00812423 -00112623 -b4878413 -01212023 -b4878793 -b6c48493 -00941c63 -00050913 -00078413 -02941463 -00000413 -0440006f -00442703 -00070863 -00042703 -00072703 -02a70863 -00c40413 -fd1ff06f -00442783 -00079663 -00c40413 -fcdff06f -00042783 -00090513 -0007a583 -e55ff0ef -fe0514e3 -00c12083 -00040513 -00412483 -00812403 -00012903 -01010113 -00008067 -00002537 -000027b7 -b7050613 -d9078793 -40c78633 -00000593 -b7050513 -e3dff06f -ff010113 -00112623 -c7cff0ef -000017b7 -80078713 -000027b7 -d9078793 -00e787b3 -00000513 -00002737 -c6f72423 -eb5ff0ef -00100513 -eadff0ef -00200513 -ea5ff0ef -00002537 -b0450513 -c35ff0ef -00300513 -e91ff0ef -becff0ef -000027b7 -bec78793 -0087c703 -ffe77713 -00e78423 -00800793 -3007b7f3 -0000006f -00800513 -30053573 -00857513 -00008067 -ff010113 -00812423 -00112623 -00050413 -fe1ff0ef -00442783 -00042703 -00857513 -00e7a023 -00042703 -00f72223 -00944783 -ffd7f793 -00f404a3 -30052573 -00c12083 -00812403 -01010113 -00008067 -000027b7 -c647a683 -00050713 -00069c63 -c6478793 -01c7a683 -0087a783 -00f68463 -24c00067 -00877713 -30072773 -00000513 -00008067 -00a58783 -00278713 -00371693 -00d506b3 -00379793 -00d5a023 -00f507b3 -0147a683 -00d5a223 -0147a683 -00b6a023 -00b7aa23 -00100793 -00e79733 -10052783 -00e7e7b3 -10f52023 -00008067 -00a58783 -0005a683 -00278713 -0045a783 -00d7a023 -0005a683 -00f6a223 -00371793 -00f507b3 -0007a683 -00f69e63 -00100793 -00e797b3 -10052703 -fff7c793 -00f777b3 -10f52023 -00008067 -ff010113 -00812423 -00050413 -10052503 -00112623 -00050c63 -a18ff0ef -00351513 -00a407b3 -0007a503 -00f51463 -00000513 -00c12083 -00812403 -01010113 -00008067 -ff010113 -00812423 -00050413 -00002537 -c8450513 -00112623 -fa9ff0ef -000027b7 -c6478793 -00051463 -00c7a503 -02041263 -0087a703 -00070e63 -00974683 -01f6f693 -00069863 -02472603 -fff00693 -00d60c63 -00a7ae23 -00c12083 -00812403 -01010113 -00008067 -000026b7 -b3c6a683 -fed702e3 -00e7ae23 -fe1ff06f -ff010113 -00112623 -00812423 -00912223 -00050493 -e15ff0ef -00050413 -00002537 -00048593 -c8450513 -e91ff0ef -0094c783 -00000513 -00847413 -0407e793 -00f484a3 -f49ff0ef -30042473 -00c12083 -00812403 -00412483 -01010113 -00008067 -ff010113 -00812423 -00912223 -00050413 -00112623 -db9ff0ef -00944783 -00050493 -0407f793 -02078a63 -00002537 -c8450513 -00040593 -e71ff0ef -00944783 -fbf7f793 -00f404a3 -000027b7 -c6c7a503 -40850533 -00153513 -ed9ff0ef -0084f493 -3004a4f3 -00c12083 -00812403 -00412483 -01010113 -00008067 -000027b7 -c6c7a503 -00008067 -fd010113 -02112623 -02812423 -02912223 -03212023 -01312e23 -01412c23 -00800693 -3006b7f3 -00002637 -d8862803 -d8c62883 -41f55713 -010505b3 -00a5b333 -01170733 -00e308b3 -d8b62423 -d9162623 -00d7f7b3 -3007a7f3 -00d10633 -00c12423 -00c12623 -3006b773 -000025b7 -c6458793 -0147a783 -00002837 -c7880813 -00d77733 -01078463 -02079463 -30072773 -02c12083 -02812403 -02412483 -02012903 -01c12983 -01812a03 -03010113 -00008067 -c6458593 -ffe00313 -0107a803 -06a85663 -0007a823 -0185a883 -41050533 -00000813 -00f88463 -0007a803 -0107a883 -04089c63 -0007ae03 -0047a883 -01c8a023 -0007ae03 -011e2223 -00812883 -00c7a223 -0117a023 -00812883 -00f12423 -00f8a223 -0067a823 -30072773 -3006b773 -00877713 -00080793 -f8081ce3 -0140006f -40a808b3 -0117a823 -f95ff06f -fca04ee3 -30072773 -00812403 -f4c408e3 -f40406e3 -00800a13 -fff00993 -00842483 -300a3973 -01342823 -00897913 -04048663 -00c42783 -00078863 -00048513 -bf5ff0ef -0204a023 -0094c783 -ffb7f713 -00e484a3 -01b7f793 -00079663 -0244a783 -03378a63 -30092973 -00c12783 -eef40ae3 -00042403 -fa0416e3 -ee9ff06f -30092973 -01442783 -fe0782e3 -00040513 -000780e7 -fd9ff06f -00048513 -d65ff0ef -fc9ff06f -01052783 -fff00713 -04e78263 -00002737 -c7c72703 -00e50a63 -00052683 -0106a703 -00f707b3 -00f6a823 -00452783 -00052703 -00e7a023 -00052703 -00f72223 -fff00793 -00f52823 -00000513 -00008067 -00078513 -00008067 -000027b7 -c647a503 -00a03533 -00008067 -000027b7 -c6c7a783 -0087c503 -00157513 -00008067 -06452783 -ff010113 -00812423 -00112623 -00050413 -00078463 -000780e7 -00944783 -01f7f713 -00071e63 -02442683 -fff00713 -00e69863 -00040513 -d09ff0ef -0280006f -0027f793 -00078663 -00040513 -ad1ff0ef -02442703 -fff00793 -00f70663 -01440513 -f29ff0ef -00944783 -0087e793 -00f404a3 -00c12083 -00812403 -01010113 -00008067 -ff010113 -00812423 -00912223 -00112623 -00050493 -00800413 -30043473 -f65ff0ef -000027b7 -c647a703 -00847413 -00070e63 -30042473 -00c12083 -00812403 -00412483 -01010113 -00008067 -c6478793 -0087a783 -00040513 -00f49463 -24c000e7 -00c12083 -00812403 -00412483 -01010113 -a7dff06f -00008067 -000017ec -00000d24 -00000000 -00001ad8 -00000db0 -00000000 -00001acc -00000ed0 -00000000 -02020100 -03030303 -04040404 -04040404 -05050505 -05050505 -05050505 -05050505 -06060606 -06060606 -06060606 -06060606 -06060606 -06060606 -06060606 -06060606 -07070707 -07070707 -07070707 -07070707 -07070707 -07070707 -07070707 -07070707 -07070707 -07070707 -07070707 -07070707 -07070707 -07070707 -07070707 -07070707 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -08080808 -63736972 -00323376 -6c6c6548 -6f57206f -21646c72 -0a732520 -00000000 -0000054c -00000604 -00000604 -00000540 -0000054c -000005ec -000005f8 -00001a1c -00001a3c -00001a58 -00001a6c -00001a78 -00001a90 -6e6b6e75 -006e776f -65637845 -6f697470 -6163206e -20657375 -28207325 -0a296425 -00000000 -2a2a2a2a -72654b20 -206c656e -6f6c6c41 -69746163 -46206e6f -756c6961 -20216572 -2a2a2a2a -0000000a -2a2a2a2a -654b202a -6c656e72 -504f4f20 -2a202153 -2a2a2a2a -0000000a -2a2a2a2a -654b202a -6c656e72 -6e615020 -20216369 -2a2a2a2a -00000a2a -2a2a2a2a -6b6e5520 -6e776f6e -74614620 -45206c61 -726f7272 -21642520 -2a2a2a20 -00000a2a -72727543 -20746e65 -65726874 -49206461 -203d2044 -460a7025 -746c7561 -20676e69 -74736e69 -74637572 -206e6f69 -72646461 -20737365 -7830203d -200a7825 -3a617220 -25783020 -67202078 -30203a70 -20782578 -3a707420 -25783020 -74202078 -30203a30 -0a782578 -31742020 -7830203a -20207825 -203a3274 -78257830 -33742020 -7830203a -20207825 -203a3474 -78257830 -7420200a -30203a35 -20782578 -3a367420 -25783020 -61202078 -30203a30 -20782578 -3a316120 -25783020 -20200a78 -203a3261 -78257830 -33612020 -7830203a -20207825 -203a3461 -78257830 -35612020 -7830203a -200a7825 -3a366120 -25783020 -61202078 -30203a37 -0a782578 -00000000 -00525349 -65737365 -6169746e -6874206c -64616572 -00000000 -61746146 -6166206c -20746c75 -25206e69 -53202173 -6e6e6970 -2e676e69 -000a2e2e -61746146 -6166206c -20746c75 -74206e69 -61657268 -70252064 -62412021 -6974726f -0a2e676e -00000000 -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -deadbaad -74736e49 -74637572 -206e6f69 -72646461 -20737365 -6173696d -6e67696c -00006465 -74736e49 -74637572 -206e6f69 -65636341 -66207373 -746c7561 -00000000 -656c6c49 -206c6167 -74736e69 -74637572 -006e6f69 -61657242 -696f706b -0000746e -64616f4c -64646120 -73736572 -73696d20 -67696c61 -0064656e -64616f4c -63636120 -20737365 -6c756166 -00000074 -72757053 -73756f69 -746e6920 -75727265 -64207470 -63657465 -21646574 -51524920 -6425203a -0000000a -4f434950 -55434f53 -00545241 -5f737973 -636f6c63 -0000006b -00000ebc -00000eac -00000000 -00001b48 -00001b60 -00001b6c -00001b6c -00001b6c -2a2a2a2a -6f42202a -6e69746f -655a2067 -72796870 -20534f20 -6870657a -762d7279 -33312e31 -2a20302e -2a2a2a2a -0000000a -000006f8 -fffffff5 -00001b78 -00000001 -00002710 -00001670 -00001ae4 -00000000 -00001658 -00000000 -00000000 -00001664 -00000000 -00000000 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 diff --git a/rtl/camd_ram.v b/rtl/camd_ram.v deleted file mode 100644 index 66deec5..0000000 --- a/rtl/camd_ram.v +++ /dev/null @@ -1,55 +0,0 @@ -module camd_ram - #(//camd parameters - parameter AW = 32, - parameter DW = 32, - //Memory parameters - parameter depth = 256, - parameter aw = $clog2(depth), - parameter memfile = "") - (input clk_i, - input rst_i, - - input [AW-1:0] ca_adr_i, //FIXME width = AW-clog2(WB_DW/8) - input ca_cmd_i, - input ca_vld_i, - output ca_rdy_o, - - input [DW-1:0] dm_dat_i, - input [DW/8-1:0] dm_msk_i, - input dm_vld_i, - output dm_rdy_o, - - output [DW-1:0] rd_dat_o, - output reg rd_vld_o, - input rd_rdy_i); - - wire ca_en = ca_vld_i & ca_rdy_o; - wire dm_en = dm_vld_i & dm_rdy_o; - wire ram_we = ca_en & dm_en; - - assign ca_rdy_o = 1'b1; - assign dm_rdy_o = 1'b1; - - wire [aw-1:2] raddr; - reg [aw-1:2] latched_raddr; - assign raddr = ca_en ? ca_adr_i[aw-1:2] : latched_raddr; - - always @(posedge clk_i) begin - if (ca_en) - latched_raddr <= ca_adr_i[aw-1:2]; - rd_vld_o <= 1'b0; - if (ca_en & !ca_cmd_i) - rd_vld_o <= 1'b1; - end - wb_ram_generic - #(.depth(depth/4), - .memfile (memfile)) - ram0 - (.clk (clk_i), - .we ({4{ram_we}} & dm_msk_i), - .din (dm_dat_i), - .waddr (ca_adr_i[aw-1:2]), - .raddr (raddr), - .dout (rd_dat_o)); - -endmodule diff --git a/serv.core b/serv.core index b859677..3feef49 100644 --- a/serv.core +++ b/serv.core @@ -27,9 +27,6 @@ filesets: - helloservice4000.hex : {copyto : helloservice4000.hex} file_type : user - pcf: - files: - - data/dummy.pcf : {file_type : PCF} serv_top_tb: files: - bench/serv_top_tb.v @@ -67,7 +64,7 @@ targets: synth: default_tool : icestorm - filesets : [core, mem_files, wrapper, pcf] + filesets : [core, mem_files, wrapper, tinyfpga_bx] toplevel : serv_wrapper tinyfpga_bx: diff --git a/serv_top_tb.v b/serv_top_tb.v deleted file mode 100644 index 9fc3e54..0000000 --- a/serv_top_tb.v +++ /dev/null @@ -1,45 +0,0 @@ -module serv_top_tb; - reg clk = 1'b1; - always #5 clk <= !clk; - - vlog_tb_utils vtu(); - - reg [1023:0] firmware_file; - reg [31:0] memory [0:16383]; - - reg [31:0] i_data; - reg i_valid = 1'b0; - wire i_ready; - - wire [31:0] pc_data; - wire pc_valid; - reg pc_ready = 1'b0; - - - initial begin - firmware_file = "firmware.hex"; - $readmemh(firmware_file, memory); - end - - always @(posedge clk) begin - pc_ready <= 1'b1; //Fuck knows - - if (i_valid & i_ready) - i_valid <= 1'b0; - if (pc_valid & pc_ready) begin - i_data <= memory[pc_data>>2]; - i_valid <= 1'b1; - pc_ready <= 1'b0; - end - end - - serv_top dut - (.clk (clk), - .i_i_data (i_data), - .i_i_valid (i_valid), - .o_i_ready (i_ready), - .o_pc_data (pc_data), - .o_pc_valid (pc_valid), - .i_pc_ready (pc_ready)); - -endmodule diff --git a/testhalt.v b/testhalt.v deleted file mode 100644 index 77f27ec..0000000 --- a/testhalt.v +++ /dev/null @@ -1,21 +0,0 @@ -`default_nettype none -module testhalt - ( - input wire i_wb_clk, - input wire [31:0] i_wb_dat, - input wire i_wb_we, - input wire i_wb_cyc, - input wire i_wb_stb, - output reg o_wb_ack = 1'b0); - - always @(posedge i_wb_clk) begin -`ifndef SYNTHESIS - if (i_wb_cyc & i_wb_stb) begin - $display("Test complete"); - $finish; - end -`endif - if (i_wb_cyc & i_wb_stb & !o_wb_ack) - o_wb_ack <= 1'b1; - end -endmodule diff --git a/testprint.v b/testprint.v deleted file mode 100644 index 8b73a5c..0000000 --- a/testprint.v +++ /dev/null @@ -1,46 +0,0 @@ -`default_nettype none -module testprint - ( - input wire i_wb_clk, - input wire [31:0] i_wb_dat, - input wire i_wb_we, - input wire i_wb_cyc, - input wire i_wb_stb, - output reg o_wb_ack = 1'b0); - - wire wb_en; - - wire [7:0] ch; - assign ch = i_wb_dat[7:0]; - - assign wb_en = i_wb_cyc & i_wb_stb; -`ifndef SYNTHESIS - //synthesis translate_off - reg [1023:0] signature_file; - integer f = 0; - - initial - if ($value$plusargs("signature=%s", signature_file)) begin - $display("Writing signature to %0s", signature_file); - f = $fopen(signature_file, "w"); - end - //synthesis translate_on -`endif - always @(posedge i_wb_clk) begin - o_wb_ack <= 1'b0; -`ifndef SYNTHESIS - //synthesis translate_off - if (wb_en & o_wb_ack) begin - if (f) - $fwrite(f, "%c", i_wb_dat[7:0]); - $write("%c", i_wb_dat[7:0]); -`ifndef VERILATOR - $fflush(); -`endif - end - //synthesis translate_on -`endif - if (wb_en & !o_wb_ack) - o_wb_ack <= 1'b1; - end -endmodule