Update docs from RIT lab computers

This commit is contained in:
Blizzard Finnegan 2024-11-26 10:08:52 -05:00
parent 18d2f96f6c
commit 09a7f5fe99
Signed by: blizzardfinnegan
GPG key ID: 61C1E13067E0018E
4762 changed files with 806692 additions and 79 deletions

@ -1 +1 @@
Subproject commit 87b77f33c9f4112073c17aa4002125d6569e5917
Subproject commit 9178d207a4831732168693af1de80ca8a535a717

View file

@ -0,0 +1,2 @@
<?xml version="1.0" encoding="UTF-8"?>
<filters version="18.1" />

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,8 @@
# # File gsaved with Nlview version 6.3.8 2013-12-19 bk=1.2992 VDI=34 GEI=35
#
preplace inst unsaved.clk_0 -pg 1 -lvl 1 -y 30
preplace inst unsaved -pg 1 -lvl 1 -y 40 -regy -20
preplace netloc EXPORT<net_container>unsaved</net_container>(SLAVE)clk_0.clk_in_reset,(SLAVE)unsaved.reset) 1 0 1 NJ
preplace netloc EXPORT<net_container>unsaved</net_container>(SLAVE)clk_0.clk_in,(SLAVE)unsaved.clk) 1 0 1 NJ
levelinfo -pg 1 0 50 270
levelinfo -hier unsaved 60 90 260

View file

@ -0,0 +1,14 @@
<?xml version="1.0" encoding="UTF-8"?>
<preferences>
<debug showDebugMenu="0" />
<systemtable filter="All Interfaces">
<columns>
<connections preferredWidth="191" />
<irq preferredWidth="47" />
</columns>
</systemtable>
<library
expandedCategories="Library/Interface Protocols,Library/University Program,Library/Interface Protocols/Serial,Library/University Program/Bridges,Project,Library/Processors and Peripherals,Library,Library/Processors and Peripherals/Embedded Processors" />
<window width="1936" height="1048" x="-8" y="-8" />
<generation synthesis="VHDL" />
</preferences>

View file

@ -0,0 +1,224 @@
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY Bus_Arbiter IS
-------------------------------------------------------------------------------
-- Port Declarations --
-------------------------------------------------------------------------------
PORT (
-- Inputs
clk : IN STD_LOGIC;
reset_n : IN STD_LOGIC;
cpu_0_address : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
cpu_0_bus_enable : IN STD_LOGIC;
cpu_0_byte_enable : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
cpu_0_rw : IN STD_LOGIC;
cpu_0_write_data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
cpu_1_address : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
cpu_1_bus_enable : IN STD_LOGIC;
cpu_1_byte_enable : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
cpu_1_rw : IN STD_LOGIC;
cpu_1_write_data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
-- Outputs
cpu_0_acknowledge : OUT STD_LOGIC;
cpu_0_read_data : OUT STD_LOGIC_VECTOR (15 DOWNTO 0);
cpu_1_acknowledge : OUT STD_LOGIC;
cpu_1_read_data : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
);
END Bus_Arbiter;
ARCHITECTURE Bus_Arbiter_rtl OF Bus_Arbiter IS
--The Ram controller is taken from part 1. it is an interface from the bus bridge to the RAM
COMPONENT RAM_controller is
PORT( clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
---- Bridge Interface
bridge_acknowledge : out std_logic := 'X'; -- acknowledge
bridge_irq : out std_logic := 'X'; -- irq
bridge_address : in std_logic_vector(10 downto 0); -- address
bridge_bus_enable : in std_logic; -- bus_enable
bridge_byte_enable : in std_logic_vector(1 downto 0); -- byte_enable
bridge_rw : in std_logic; -- rw (0 = write, 1= read)
bridge_write_data : in std_logic_vector(15 downto 0); -- write_data
bridge_read_data : out std_logic_vector(15 downto 0) := (others => 'X'); -- read_data
---- RAM interface
ram_address : out STD_LOGIC_VECTOR (10 DOWNTO 0);
ram_data : out STD_LOGIC_VECTOR (15 DOWNTO 0);
ram_wren : out STD_LOGIC ;
ram_q : in STD_LOGIC_VECTOR (15 DOWNTO 0));
end component;
--the external RAM is generated by Altera MegaWizard Plug-in Manager
component external_RAM IS
PORT(
address : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
clock : IN STD_LOGIC := '1';
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
wren : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
);
END Component;
--the arbiter is a state machine so define the states here
type state_type is (IDLE,CPU_0,CPU_1);
signal current_state,next_state : state_type;
signal last_given : state_type := IDLE;
-------------------------------------------------------------------------------
-- Internal Wires --
-------------------------------------------------------------------------------
-- Internal Wires
signal bus_enable_both : std_logic_vector(1 downto 0);
signal acknowledge,bus_enable,rw : std_logic;
signal read_data, write_data : std_logic_vector(15 downto 0);
signal address : std_logic_vector(10 downto 0);
signal byte_enable : std_logic_vector(1 downto 0);
signal irq : std_logic;
signal ram_address_int : STD_LOGIC_VECTOR (10 DOWNTO 0);
signal ram_data_int : STD_LOGIC_VECTOR (15 DOWNTO 0);
signal ram_wren_int : STD_LOGIC;
signal ram_q_int : STD_LOGIC_VECTOR (15 DOWNTO 0);
begin
-- create a signal to indicate which bridge has been enabled
bus_enable_both <= cpu_0_bus_enable & cpu_1_bus_enable;
-------------------------------------------------------------------------------
-- Finite State Machine --
-------------------------------------------------------------------------------
sync: process(clk,reset_n)
begin
if (reset_n = '0') then
current_state <= IDLE;
elsif (clk'event and clk = '1') then
current_state <= next_state;
end if;
end process;
-------------------------------------------------------------------------------
-- Combinational Logic --
-------------------------------------------------------------------------------
comb: process(current_state,bus_enable_both,bus_enable)
begin
case(current_state) is
when idle =>
case (bus_enable_both) is
when "10" =>
next_state <= CPU_0;
when "01" =>
next_state <= CPU_1;
when "11" =>
case (last_given) is
when IDLE =>
next_state <= CPU_0;
last_given <= CPU_0;
when CPU_0 =>
next_state <= CPU_1;
last_given <= CPU_1;
when CPU_1 =>
next_state <= CPU_0;
last_given <= CPU_0;
when others =>
next_state <= CPU_1;
last_given <= CPU_1;
end case;
when others =>
next_state <= IDLE;
end case;
when CPU_0 =>
if (bus_enable = '0') then
next_state <= IDLE;
else
next_state <= CPU_0;
end if;
when CPU_1 =>
if (bus_enable = '0') then
next_state <= IDLE;
else
next_state <= CPU_1;
end if;
when others =>
next_state <= IDLE;
end case;
end process;
--this process assigns all of the signals based on which bridge is selected
--Note - it is not the best coding style to use one process for all the
-- outputs (j. christman)
process(current_state,cpu_0_bus_enable,cpu_0_byte_enable,cpu_0_rw,
cpu_0_address,cpu_0_write_data,cpu_1_bus_enable,cpu_1_byte_enable,cpu_1_rw,
cpu_1_address,cpu_1_write_data) is
begin
case (current_state) is
when CPU_0 =>
bus_enable <= cpu_0_bus_enable;
byte_enable <= cpu_0_byte_enable;
rw <= cpu_0_rw;
address <= cpu_0_address;
write_data <= cpu_0_write_data;
cpu_0_acknowledge <= acknowledge;
cpu_0_read_data <= read_data;
cpu_1_acknowledge <= '0';
cpu_1_read_data <= (others => '0');
when others =>
bus_enable <= cpu_1_bus_enable;
byte_enable <= cpu_1_byte_enable;
rw <= cpu_1_rw;
address <= cpu_1_address;
write_data <= cpu_1_write_data;
cpu_0_acknowledge <= '0';
cpu_0_read_data <= (others => '0');
cpu_1_acknowledge <= acknowledge;
cpu_1_read_data <= read_data;
end case;
end process;
-------------------------------------------------------------------------------
-- Internal Modules --
-------------------------------------------------------------------------------
u1: RAM_controller
PORT map ( clk => clk,
reset_n => reset_n,
---- Bridge Interface
bridge_acknowledge => acknowledge,
bridge_irq => irq,
bridge_address => address,
bridge_bus_enable => bus_enable,
bridge_byte_enable => byte_enable,
bridge_rw => rw,
bridge_write_data => write_data,
bridge_read_data => read_data,
---- RAM interface
ram_address => ram_address_int,
ram_data => ram_data_int,
ram_wren => ram_wren_int,
ram_q => ram_q_int
);
u2: external_RAM
PORT map (
address => ram_address_int,
clock => clk,
data => ram_data_int,
wren => ram_wren_int,
q => ram_q_int
);
END Bus_Arbiter_rtl;

View file

@ -0,0 +1,212 @@
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY Bus_Arbiter IS
-------------------------------------------------------------------------------
-- Port Declarations --
-------------------------------------------------------------------------------
PORT (
-- Inputs
clk : IN STD_LOGIC;
reset_n : IN STD_LOGIC;
cpu_0_address : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
cpu_0_bus_enable : IN STD_LOGIC;
cpu_0_byte_enable : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
cpu_0_rw : IN STD_LOGIC;
cpu_0_write_data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
cpu_1_address : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
cpu_1_bus_enable : IN STD_LOGIC;
cpu_1_byte_enable : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
cpu_1_rw : IN STD_LOGIC;
cpu_1_write_data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
-- Outputs
cpu_0_acknowledge : OUT STD_LOGIC;
cpu_0_read_data : OUT STD_LOGIC_VECTOR (15 DOWNTO 0);
cpu_1_acknowledge : OUT STD_LOGIC;
cpu_1_read_data : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
);
END Bus_Arbiter;
ARCHITECTURE Bus_Arbiter_rtl OF Bus_Arbiter IS
--The Ram controller is taken from part 1. it is an interface from the bus bridge to the RAM
COMPONENT RAM_controller is
PORT( clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
---- Bridge Interface
bridge_acknowledge : out std_logic := 'X'; -- acknowledge
bridge_irq : out std_logic := 'X'; -- irq
bridge_address : in std_logic_vector(10 downto 0); -- address
bridge_bus_enable : in std_logic; -- bus_enable
bridge_byte_enable : in std_logic_vector(1 downto 0); -- byte_enable
bridge_rw : in std_logic; -- rw (0 = write, 1= read)
bridge_write_data : in std_logic_vector(15 downto 0); -- write_data
bridge_read_data : out std_logic_vector(15 downto 0) := (others => 'X'); -- read_data
---- RAM interface
ram_address : out STD_LOGIC_VECTOR (10 DOWNTO 0);
ram_data : out STD_LOGIC_VECTOR (15 DOWNTO 0);
ram_wren : out STD_LOGIC ;
ram_q : in STD_LOGIC_VECTOR (15 DOWNTO 0));
end component;
--the external RAM is generated by Altera MegaWizard Plug-in Manager
component external_RAM IS
PORT(
address : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
clock : IN STD_LOGIC := '1';
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
wren : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
);
END Component;
--the arbiter is a state machine so define the states here
type state_type is (IDLE,CPU_0,CPU_1);
signal current_state,next_state : state_type;
-------------------------------------------------------------------------------
-- Internal Wires --
-------------------------------------------------------------------------------
-- Internal Wires
signal bus_enable_both : std_logic_vector(1 downto 0);
signal acknowledge,bus_enable,rw : std_logic;
signal read_data, write_data : std_logic_vector(15 downto 0);
signal address : std_logic_vector(10 downto 0);
signal byte_enable : std_logic_vector(1 downto 0);
signal irq : std_logic;
signal ram_address_int : STD_LOGIC_VECTOR (10 DOWNTO 0);
signal ram_data_int : STD_LOGIC_VECTOR (15 DOWNTO 0);
signal ram_wren_int : STD_LOGIC;
signal ram_q_int : STD_LOGIC_VECTOR (15 DOWNTO 0);
begin
-- create a signal to indicate which bridge has been enabled
bus_enable_both <= cpu_0_bus_enable & cpu_1_bus_enable;
-------------------------------------------------------------------------------
-- Finite State Machine --
-------------------------------------------------------------------------------
sync: process(clk,reset_n)
begin
if (reset_n = '0') then
current_state <= IDLE;
elsif (clk'event and clk = '1') then
current_state <= next_state;
end if;
end process;
-------------------------------------------------------------------------------
-- Combinational Logic --
-------------------------------------------------------------------------------
comb: process(current_state,bus_enable_both,bus_enable)
begin
case(current_state) is
when idle =>
case (bus_enable_both) is
when "10" =>
next_state <= CPU_0;
when "01" =>
next_state <= CPU_1;
when "11" =>
--Need to arbitrate here
--Determine an arbitration scheme so that the two processors are fairly
-- given access to the bus
when others =>
next_state <= IDLE;
end case;
when CPU_0 =>
if (bus_enable = '0') then
next_state <= IDLE;
else
next_state <= CPU_0;
end if;
when CPU_1 =>
if (bus_enable = '0') then
next_state <= IDLE;
else
next_state <= CPU_1;
end if;
when others =>
next_state <= IDLE;
end case;
end process;
--this process assigns all of the signals based on which bridge is selected
--Note - it is not the best coding style to use one process for all the
-- outputs (j. christman)
process(current_state,cpu_0_bus_enable,cpu_0_byte_enable,cpu_0_rw,
cpu_0_address,cpu_0_write_data,cpu_1_bus_enable,cpu_1_byte_enable,cpu_1_rw,
cpu_1_address,cpu_1_write_data) is
begin
case (current_state) is
when CPU_0 =>
bus_enable <= cpu_0_bus_enable;
byte_enable <= cpu_0_byte_enable;
rw <= cpu_0_rw;
address <= cpu_0_address;
write_data <= cpu_0_write_data;
cpu_0_acknowledge <= acknowledge;
cpu_0_read_data <= read_data;
cpu_1_acknowledge <= '0';
cpu_1_read_data <= (others => '0');
when others =>
bus_enable <= cpu_1_bus_enable;
byte_enable <= cpu_1_byte_enable;
rw <= cpu_1_rw;
address <= cpu_1_address;
write_data <= cpu_1_write_data;
cpu_0_acknowledge <= '0';
cpu_0_read_data <= (others => '0');
cpu_1_acknowledge <= acknowledge;
cpu_1_read_data <= read_data;
end case;
end process;
-------------------------------------------------------------------------------
-- Internal Modules --
-------------------------------------------------------------------------------
u1: RAM_controller
PORT map ( clk => clk,
reset_n => reset_n,
---- Bridge Interface
bridge_acknowledge => acknowledge,
bridge_irq => irq,
bridge_address => address,
bridge_bus_enable => bus_enable,
bridge_byte_enable => byte_enable,
bridge_rw => rw,
bridge_write_data => write_data,
bridge_read_data => read_data,
---- RAM interface
ram_address => ram_address_int,
ram_data => ram_data_int,
ram_wren => ram_wren_int,
ram_q => ram_q_int
);
u2: external_RAM
PORT map (
address => ram_address_int,
clock => clk,
data => ram_data_int,
wren => ram_wren_int,
q => ram_q_int
);
END Bus_Arbiter_rtl;

View file

@ -0,0 +1,121 @@
--------------------------------------------------------------------------------------------------------
-- This is the top level for the arbitration_demo part2. It instantiates the nios_system,
-- and the bus arbiter. Its only interface to the board is the clock and reset. All other
-- logic and memory is in the FPGA
--------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity Arbitration_part2 is
port( CLOCK_50 : in std_logic;
KEY : in std_logic_vector(3 downto 0));
end Arbitration_part2;
architecture structure of Arbitration_part2 is
--in the nios_system there are two bridge interfaces, one for CPU_0 and one for CPU_1. They are both trying
--to access the same RAM module, which is the reason an arbitrater is needed
component nios_system is
port (
avalon_bridge0_acknowledge : in std_logic := 'X'; -- acknowledge
avalon_bridge0_irq : in std_logic := 'X'; -- irq
avalon_bridge0_address : out std_logic_vector(10 downto 0); -- address
avalon_bridge0_bus_enable : out std_logic; -- bus_enable
avalon_bridge0_byte_enable : out std_logic_vector(1 downto 0); -- byte_enable
avalon_bridge0_rw : out std_logic; -- rw
avalon_bridge0_write_data : out std_logic_vector(15 downto 0); -- write_data
avalon_bridge0_read_data : in std_logic_vector(15 downto 0) := (others => 'X'); -- read_data
clk_clk : in std_logic := 'X'; -- clk
reset_reset_n : in std_logic := 'X'; -- reset_n
avalon_bridge1_acknowledge : in std_logic := 'X'; -- acknowledge
avalon_bridge1_irq : in std_logic := 'X'; -- irq
avalon_bridge1_address : out std_logic_vector(10 downto 0); -- address
avalon_bridge1_bus_enable : out std_logic; -- bus_enable
avalon_bridge1_byte_enable : out std_logic_vector(1 downto 0); -- byte_enable
avalon_bridge1_rw : out std_logic; -- rw
avalon_bridge1_write_data : out std_logic_vector(15 downto 0); -- write_data
avalon_bridge1_read_data : in std_logic_vector(15 downto 0) := (others => 'X') -- read_data
);
end component nios_system;
--The purpose of the bus_arbiter is to give control of the RAM to one of the CPUs
component Bus_Arbiter IS
port(
-- Inputs
clk : IN STD_LOGIC;
reset_n : IN STD_LOGIC;
cpu_0_address : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
cpu_0_bus_enable : IN STD_LOGIC;
cpu_0_byte_enable : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
cpu_0_rw : IN STD_LOGIC;
cpu_0_write_data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
cpu_1_address : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
cpu_1_bus_enable : IN STD_LOGIC;
cpu_1_byte_enable : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
cpu_1_rw : IN STD_LOGIC;
cpu_1_write_data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
-- Outputs
cpu_0_acknowledge : OUT STD_LOGIC;
cpu_0_read_data : OUT STD_LOGIC_VECTOR (15 DOWNTO 0);
cpu_1_acknowledge : OUT STD_LOGIC;
cpu_1_read_data : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
);
END component;
signal acknowledge0, acknowledge1 : std_logic;
signal irq0, irq1 : std_logic;
signal address0, address1 : std_logic_vector(10 downto 0);
signal bus_enable0, bus_enable1 : std_logic;
signal byte_enable0, byte_enable1 : std_logic_vector(1 downto 0);
signal rw0, rw1 : std_logic;
signal write_data0, write_data1 : std_logic_vector(15 downto 0);
signal read_data0, read_data1 : std_logic_vector(15 downto 0);
begin
u0 : nios_system
port map (
avalon_bridge0_acknowledge => acknowledge0, -- avalon_bridge0.acknowledge
avalon_bridge0_irq => irq0, -- .irq
avalon_bridge0_address => address0, -- .address
avalon_bridge0_bus_enable => bus_enable0, -- .bus_enable
avalon_bridge0_byte_enable => byte_enable0, -- .byte_enable
avalon_bridge0_rw => rw0, -- .rw
avalon_bridge0_write_data => write_data0, -- .write_data
avalon_bridge0_read_data => read_data0, -- .read_data
clk_clk => CLOCK_50, -- clk.clk
reset_reset_n => KEY(0), -- reset.reset_n
avalon_bridge1_acknowledge => acknowledge1, -- avalon_bridge1.acknowledge
avalon_bridge1_irq => irq1, -- .irq
avalon_bridge1_address => address1, -- .address
avalon_bridge1_bus_enable => bus_enable1, -- .bus_enable
avalon_bridge1_byte_enable => byte_enable1, -- .byte_enable
avalon_bridge1_rw => rw1, -- .rw
avalon_bridge1_write_data => write_data1, -- .write_data
avalon_bridge1_read_data => read_data1 -- .read_data
);
u1: Bus_Arbiter
PORT map(
-- Inputs
clk => CLOCK_50,
reset_n => KEY(0),
cpu_0_address => address0,
cpu_0_bus_enable => bus_enable0,
cpu_0_byte_enable => byte_enable0,
cpu_0_rw => rw0,
cpu_0_write_data => write_data0,
cpu_1_address => address1,
cpu_1_bus_enable => bus_enable1,
cpu_1_byte_enable => byte_enable1,
cpu_1_rw => rw1,
cpu_1_write_data => write_data1,
-- Outputs
cpu_0_acknowledge => acknowledge0,
cpu_0_read_data => read_data0,
cpu_1_acknowledge => acknowledge1,
cpu_1_read_data => read_data1
);
end structure;

View file

@ -0,0 +1,56 @@
--------------------------------------------------------------------------------------------------------
-- This module is designed to interface between an Avalon bridge from a nios II system and
-- an external RAM
--------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity RAM_controller is
PORT( clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
---- Bridge Interface
bridge_acknowledge : out std_logic := 'X'; -- acknowledge
bridge_irq : out std_logic := 'X'; -- irq
bridge_address : in std_logic_vector(10 downto 0); -- address
bridge_bus_enable : in std_logic; -- bus_enable
bridge_byte_enable : in std_logic_vector(1 downto 0); -- byte_enable
bridge_rw : in std_logic; -- rw (0 = write, 1= read)
bridge_write_data : in std_logic_vector(15 downto 0); -- write_data
bridge_read_data : out std_logic_vector(15 downto 0) := (others => 'X'); -- read_data
---- RAM interface
ram_address : out STD_LOGIC_VECTOR (10 DOWNTO 0);
ram_data : out STD_LOGIC_VECTOR (15 DOWNTO 0);
ram_wren : out STD_LOGIC ;
ram_q : in STD_LOGIC_VECTOR (15 DOWNTO 0));
end RAM_controller;
architecture behavior of RAM_controller is
signal bus_enable_d1 : std_logic;
signal bus_enable_d2 : std_logic;
signal address : std_logic_vector(10 downto 0);
begin
ram_wren <= (not bridge_rw) and bridge_bus_enable; --write to the external ram when it is enabled for write
ram_address <= bridge_address(10 downto 1) & bridge_byte_enable(1); --allow for reads and writes by bytes
ram_data <= bridge_write_data;
bridge_read_data <= ram_q;
ack_process : process(clk, reset_n) --this creates the acknowledge after the enable
begin
if (reset_n = '0') then
bus_enable_d1 <= '0';
bus_enable_d2 <= '0';
bridge_acknowledge <= '0';
elsif (rising_edge(clk)) then
bus_enable_d1 <= bridge_bus_enable;
bus_enable_d2 <= bus_enable_d1;
if ((bus_enable_d1 = '1') and (bus_enable_d2 = '0')) then
bridge_acknowledge <= '1';
else
bridge_acknowledge <= '0';
end if;
end if;
end process;
end behavior;

View file

@ -0,0 +1,117 @@
//*******************************************************************************************************//
//
// Program name: arbitration_nios0
// Creation date: 10/29/18
// Author : Jeanne Christman
// Class : CPET-562 - Embedded Systems Design I
//
// Description : This program is one side of a chat application in a system that has two NIOS II processors
// accessing the same RAM. After displaying a welcome message, this program operates forever
// in a loop. First it polls the receive UART to determine if a character has been typed on
// the keyboard. If it has, the character is echoed to the screen and stored in RAM. A 1 bit
// flag is set in LOC + 4 so that the other processor knows that there is a char-
// stored in SRAM. The second part of the loop polls LOC + 12 to see if there is a flag from the
// other processor. If there is, it takes the character stored in LOC + 8 and displays it on the
// terminal window.
//********************************************************************************************************//
//*****************************************************************************
// Include Files
//*****************************************************************************
#include "system.h" // for QSYS parameters #include "sys/alt_irq.h" // for IRQ support #include <stdio.h>
//*****************************************************************************
// Define symbolic constants
//*****************************************************************************
// create standard embedded type definitions
typedef signed char sint8; // signed 8 bit values
typedef unsigned char uint8; // unsigned 8 bit values
typedef signed short sint16; // signed 16 bit values
typedef unsigned short uint16; // unsigned 16 bit values
typedef signed long sint32; // signed 32 bit values
typedef unsigned long uint32; // unsigned 32 bit values
typedef float real32; // 32 bit real values
//*****************************************************************************
// Define private data
//*****************************************************************************
uint16* BridgePtr = (uint16*) AVALON_BRIDGE0_BASE;
uint32* JtagUartPtr = (uint32*) JTAG_UART_0_BASE;
//*****************************************************************************
// Define private functions
//*****************************************************************************
//*****************************************************************************
// NAME: Send String to JTAG UART
//
// DESCRIPTION:
// This function displays to the JTAG_UART like a printf
//
// INPUTS:
// prompt - pointer to string to display
// count - number of characters
// OUTPUTS:
// none
// RETURN:
// none
//*****************************************************************************
void jtag_display(uint8* prompt, uint32 count) {
uint32 i;
for (i = 0; i < count; i++) {
*JtagUartPtr = prompt[i];
} /* for */
} /* jtag_display */
//*****************************************************************************
// MAIN
//*****************************************************************************
int main(void) {
int wspace, rv; //these are for parsing the uart data
char character;
jtag_display((uint8*) "Welcome to ESD I chat room for CPU 0", 36);
while (1) //run continuous loop
{
//poll the receive Uart to see if a character has been entered
//if a valid character has been read, RV bit (bit 15) = 1;
rv = *JtagUartPtr;
if ((rv & 0x00008000) != 0)
//read character
{
character = rv & 0x000000FF;
//echo it if transmitter is ready
wspace = *(JtagUartPtr + 1);
if ((wspace & 0xffff0000) != 0) //if transmitter is ready
{
*JtagUartPtr = character; //send character to uart
//also store character and set flag;
*(BridgePtr) = character;
*(BridgePtr + 4) = 1;
}
}
//now read flag from other CPU
if (*(BridgePtr + 12) == 1)
{
*(BridgePtr + 12) = 0; //clear flag
character = *(BridgePtr + 8); //get the character
//if there is a character, print it
*(JtagUartPtr) = character; //send character to uart
}
}
return (0);
}

View file

@ -0,0 +1,128 @@
//*******************************************************************************************************//
//
// Program name: arbitration_nios1
// Creation date: 10/26/18
// Author : Jeanne Christman
// Class : CPET-562 - Embedded Systems Design I
//
// Description : This program is one side of a chat application in a system that has two NIOS II processors
// accessing the same RAM. After displaying a welcome message, this program operates forever
// in a loop. First it polls the receive UART to determine if a character has been typed on
// the keyboard. If it has, the character is echoed to the screen and stored in RAM location
// LOC. A 1 bit flag is set in LOC + 4 so that the other processor knows that there is a char-
// stored in SRAM. The second part of the loop polls LOC + 12 to see if there is a flag from the
// other processor. If there is, it takes the character stored in LOC + 8 and displays it on the
// terminal window.
//********************************************************************************************************//
//*****************************************************************************
// Include Files
//*****************************************************************************
#include "system.h" // for QSYS parameters
#include "sys/alt_irq.h" // for IRQ support
#include "alt_types.h"
#include <stdio.h>
//*****************************************************************************
// Define symbolic constants
//*****************************************************************************
// create standard embedded type definitions
typedef signed char sint8; // signed 8 bit values
typedef unsigned char uint8; // unsigned 8 bit values
typedef signed short sint16; // signed 16 bit values
typedef unsigned short uint16; // unsigned 16 bit values
typedef signed long sint32; // signed 32 bit values
typedef unsigned long uint32; // unsigned 32 bit values
typedef float real32; // 32 bit real values
//*****************************************************************************
// Define private data
//*****************************************************************************
uint16* BridgePtr = (uint16*)AVALON_BRIDGE1_BASE;
uint32* JtagUartPtr = (uint32*)JTAG_UART_1_BASE;
//*****************************************************************************
// Define private functions
//*****************************************************************************
//*****************************************************************************
// NAME: Send String to JTAG UART
//
// DESCRIPTION:
// This function displays to the JTAG_UART like a printf
//
// INPUTS:
// prompt - pointer to string to display
// count - number of characters
// OUTPUTS:
// none
// RETURN:
// none
//*****************************************************************************
void jtag_display(uint8* prompt, uint32 count) {
uint32 i;
for (i = 0; i < count; i++) {
*JtagUartPtr = prompt[i];
} /* for */
} /* jtag_display */
//*****************************************************************************
// MAIN
//*****************************************************************************
int main(void)
{
int wspace, rv; //these are for parsing the register data from the JTAG
char character;
jtag_display((uint8*) "Welcome to ESD I chat room for CPU 1", 36);
while (1) //run continuous loop
{
//poll the receive Uart to see if a character has been entered
//if a valid character has been read, RV bit (bit 15) = 1;
rv = *JtagUartPtr;
if ((rv & 0x00008000)!= 0)
//read character
{
character = rv & 0x000000FF;
//echo it if transmitter is ready
wspace = *(JtagUartPtr + 1);
if ((wspace & 0xffff0000) != 0) //if transmitter is ready
{
*JtagUartPtr = character; //send character to uart
//also store character and set flag;
*(BridgePtr + 8) = character;
*(BridgePtr + 12) = 1;
}
}
//now read flag from other CPU
if (*(BridgePtr + 4) == 1)
{
*(BridgePtr + 4) = 0; //clear flag
character = *BridgePtr; //get the character
//if there is a character, print it
*(JtagUartPtr) = character; //send character to uart
}
}
return (0);
}

View file

@ -0,0 +1,38 @@
!SESSION 2024-11-19 14:35:39.303 -----------------------------------------------
eclipse.buildId=4.5.2.M20160212-1500
java.version=1.8.0_05
java.vendor=Oracle Corporation
BootLoader constants: OS=win32, ARCH=x86_64, WS=win32, NL=en_US
Framework arguments: -product org.eclipse.epp.package.cpp.product -pluginCustomization C:/intelfpga/18.1/nios2eds/bin/eclipse_nios2/plugin_customization.ini
Command-line arguments: -os win32 -ws win32 -arch x86_64 -product org.eclipse.epp.package.cpp.product -pluginCustomization C:/intelfpga/18.1/nios2eds/bin/eclipse_nios2/plugin_customization.ini
!ENTRY org.eclipse.epp.logging.aeri.ide 2 16 2024-11-19 14:36:09.454
!MESSAGE Server org.eclipse.epp.logging.aeri.ide.server failed with exception: java.lang.IllegalStateException: Expected BEGIN_OBJECT but was STRING at line 1 column 1. ; version: 1.100.0.v20160217-0435
!STACK 0
com.google.gson.JsonSyntaxException: java.lang.IllegalStateException: Expected BEGIN_OBJECT but was STRING at line 1 column 1
at com.google.gson.internal.bind.ReflectiveTypeAdapterFactory$Adapter.read(ReflectiveTypeAdapterFactory.java:176)
at com.google.gson.Gson.fromJson(Gson.java:803)
at com.google.gson.Gson.fromJson(Gson.java:768)
at com.google.gson.Gson.fromJson(Gson.java:717)
at org.eclipse.epp.internal.logging.aeri.ide.server.json.Json.deserialize(Json.java:88)
at org.eclipse.epp.internal.logging.aeri.ide.server.mars.IO.refreshConfiguration(IO.java:70)
at org.eclipse.epp.internal.logging.aeri.ide.server.mars.ServerConnection.startUp(ServerConnection.java:101)
at com.google.common.util.concurrent.AbstractIdleService$2$1.run(AbstractIdleService.java:54)
at com.google.common.util.concurrent.Callables$3.run(Callables.java:93)
at java.lang.Thread.run(Unknown Source)
Caused by: java.lang.IllegalStateException: Expected BEGIN_OBJECT but was STRING at line 1 column 1
at com.google.gson.stream.JsonReader.beginObject(JsonReader.java:374)
at com.google.gson.internal.bind.ReflectiveTypeAdapterFactory$Adapter.read(ReflectiveTypeAdapterFactory.java:165)
... 9 more
!ENTRY org.eclipse.cdt.core 1 0 2024-11-19 14:37:41.262
!MESSAGE Indexed 'arbitration_nios0_bsp' (80 sources, 60 headers) in 1.01 sec: 1,190 declarations; 2,481 references; 110 unresolved inclusions; 6 syntax errors; 191 unresolved names (4.9%)
!ENTRY org.eclipse.cdt.core 1 0 2024-11-19 14:37:43.204
!MESSAGE Indexed 'arbitration_nios0' (0 sources, 0 headers) in 0.001 sec: 0 declarations; 0 references; 0 unresolved inclusions; 0 syntax errors; 0 unresolved names (0%)
!ENTRY com.altera.sbtgui.launch 1 0 2024-11-19 14:43:56.188
!MESSAGE Executing: [C:/intelfpga/18.1/quartus\bin64\cygwin\bin\bash.exe, -c, nios2-download '--cable=DE-SoC on localhost [USB-1]' --device=2 --instance=0 --sidp=0x0 --id=0x0 --timestamp=1732044055 /cygdrive/c/Users/rmm3470/cpet-561-01-coursework/demos/arbitration_2_demo/software/arbitration_nios0/arbitration_nios0.elf]
!ENTRY com.altera.sbtgui.launch 1 0 2024-11-19 14:43:57.984
!MESSAGE Executing: [C:/intelfpga/18.1/quartus\bin64\cygwin\bin\bash.exe, -c, nios2-download '--cable=DE-SoC on localhost [USB-1]' --device=2 --instance=0 --tcpport=auto --sidp=0x0 --id=0x0 --timestamp=1732044055]

View file

@ -0,0 +1,9 @@
14:43:54 **** Incremental Build of configuration Nios II for project arbitration_nios0 ****
make all
Info: Building ../arbitration_nios0_bsp/
C:/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-mingw32/bin/make --no-print-directory -C ../arbitration_nios0_bsp/
[BSP build complete]
[arbitration_nios0 build complete]
14:43:55 Build Finished (took 789ms)

View file

@ -0,0 +1,6 @@
14:37:40 **** Clean-only build of configuration Nios II for project arbitration_nios0_bsp ****
make clean
[BSP clean complete]
14:37:40 Build Finished (took 362ms)

View file

@ -0,0 +1,202 @@
14:41:49 **** Build of configuration Nios II for project arbitration_nios0 ****
make all
Info: Building ../arbitration_nios0_bsp/
C:/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-mingw32/bin/make --no-print-directory -C ../arbitration_nios0_bsp/
Compiling alt_alarm_start.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_alarm_start.o HAL/src/alt_alarm_start.c
Compiling alt_busy_sleep.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_busy_sleep.o HAL/src/alt_busy_sleep.c
Compiling alt_close.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_close.o HAL/src/alt_close.c
Compiling alt_dcache_flush.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_dcache_flush.o HAL/src/alt_dcache_flush.c
Compiling alt_dcache_flush_all.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_dcache_flush_all.o HAL/src/alt_dcache_flush_all.c
Compiling alt_dcache_flush_no_writeback.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_dcache_flush_no_writeback.o HAL/src/alt_dcache_flush_no_writeback.c
Compiling alt_dev.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_dev.o HAL/src/alt_dev.c
Compiling alt_dev_llist_insert.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_dev_llist_insert.o HAL/src/alt_dev_llist_insert.c
Compiling alt_dma_rxchan_open.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_dma_rxchan_open.o HAL/src/alt_dma_rxchan_open.c
Compiling alt_dma_txchan_open.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_dma_txchan_open.o HAL/src/alt_dma_txchan_open.c
Compiling alt_do_ctors.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_do_ctors.o HAL/src/alt_do_ctors.c
Compiling alt_do_dtors.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_do_dtors.o HAL/src/alt_do_dtors.c
Compiling alt_ecc_fatal_entry.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_ecc_fatal_entry.o HAL/src/alt_ecc_fatal_entry.S
Compiling alt_ecc_fatal_exception.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_ecc_fatal_exception.o HAL/src/alt_ecc_fatal_exception.c
Compiling alt_env_lock.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_env_lock.o HAL/src/alt_env_lock.c
Compiling alt_environ.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_environ.o HAL/src/alt_environ.c
Compiling alt_errno.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_errno.o HAL/src/alt_errno.c
Compiling alt_exception_entry.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_exception_entry.o HAL/src/alt_exception_entry.S
Compiling alt_exception_muldiv.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_exception_muldiv.o HAL/src/alt_exception_muldiv.S
Compiling alt_exception_trap.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_exception_trap.o HAL/src/alt_exception_trap.S
Compiling alt_execve.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_execve.o HAL/src/alt_execve.c
Compiling alt_exit.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_exit.o HAL/src/alt_exit.c
Compiling alt_fcntl.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_fcntl.o HAL/src/alt_fcntl.c
Compiling alt_fd_lock.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_fd_lock.o HAL/src/alt_fd_lock.c
Compiling alt_fd_unlock.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_fd_unlock.o HAL/src/alt_fd_unlock.c
Compiling alt_find_dev.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_find_dev.o HAL/src/alt_find_dev.c
Compiling alt_find_file.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_find_file.o HAL/src/alt_find_file.c
Compiling alt_flash_dev.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_flash_dev.o HAL/src/alt_flash_dev.c
Compiling alt_fork.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_fork.o HAL/src/alt_fork.c
Compiling alt_fs_reg.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_fs_reg.o HAL/src/alt_fs_reg.c
Compiling alt_fstat.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_fstat.o HAL/src/alt_fstat.c
Compiling alt_get_fd.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_get_fd.o HAL/src/alt_get_fd.c
Compiling alt_getchar.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_getchar.o HAL/src/alt_getchar.c
Compiling alt_getpid.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_getpid.o HAL/src/alt_getpid.c
Compiling alt_gettod.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_gettod.o HAL/src/alt_gettod.c
Compiling alt_gmon.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_gmon.o HAL/src/alt_gmon.c
Compiling alt_icache_flush.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_icache_flush.o HAL/src/alt_icache_flush.c
Compiling alt_icache_flush_all.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_icache_flush_all.o HAL/src/alt_icache_flush_all.c
Compiling alt_iic.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_iic.o HAL/src/alt_iic.c
Compiling alt_iic_isr_register.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_iic_isr_register.o HAL/src/alt_iic_isr_register.c
Compiling alt_instruction_exception_entry.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_instruction_exception_entry.o HAL/src/alt_instruction_exception_entry.c
Compiling alt_instruction_exception_register.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_instruction_exception_register.o HAL/src/alt_instruction_exception_register.c
Compiling alt_io_redirect.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_io_redirect.o HAL/src/alt_io_redirect.c
Compiling alt_ioctl.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_ioctl.o HAL/src/alt_ioctl.c
Compiling alt_irq_entry.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_irq_entry.o HAL/src/alt_irq_entry.S
Compiling alt_irq_handler.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_irq_handler.o HAL/src/alt_irq_handler.c
Compiling alt_irq_register.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_irq_register.o HAL/src/alt_irq_register.c
Compiling alt_irq_vars.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_irq_vars.o HAL/src/alt_irq_vars.c
Compiling alt_isatty.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_isatty.o HAL/src/alt_isatty.c
Compiling alt_kill.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_kill.o HAL/src/alt_kill.c
Compiling alt_link.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_link.o HAL/src/alt_link.c
Compiling alt_load.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_load.o HAL/src/alt_load.c
Compiling alt_log_macro.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_log_macro.o HAL/src/alt_log_macro.S
Compiling alt_log_printf.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_log_printf.o HAL/src/alt_log_printf.c
Compiling alt_lseek.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_lseek.o HAL/src/alt_lseek.c
Compiling alt_main.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_main.o HAL/src/alt_main.c
Compiling alt_malloc_lock.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_malloc_lock.o HAL/src/alt_malloc_lock.c
Compiling alt_mcount.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_mcount.o HAL/src/alt_mcount.S
Compiling alt_open.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_open.o HAL/src/alt_open.c
Compiling alt_printf.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_printf.o HAL/src/alt_printf.c
Compiling alt_putchar.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_putchar.o HAL/src/alt_putchar.c
Compiling alt_putcharbuf.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_putcharbuf.o HAL/src/alt_putcharbuf.c
Compiling alt_putstr.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_putstr.o HAL/src/alt_putstr.c
Compiling alt_read.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_read.o HAL/src/alt_read.c
Compiling alt_release_fd.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_release_fd.o HAL/src/alt_release_fd.c
Compiling alt_remap_cached.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_remap_cached.o HAL/src/alt_remap_cached.c
Compiling alt_remap_uncached.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_remap_uncached.o HAL/src/alt_remap_uncached.c
Compiling alt_rename.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_rename.o HAL/src/alt_rename.c
Compiling alt_sbrk.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_sbrk.o HAL/src/alt_sbrk.c
Compiling alt_settod.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_settod.o HAL/src/alt_settod.c
Compiling alt_software_exception.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/alt_software_exception.o HAL/src/alt_software_exception.S
Compiling alt_stat.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_stat.o HAL/src/alt_stat.c
Compiling alt_tick.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_tick.o HAL/src/alt_tick.c
Compiling alt_times.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_times.o HAL/src/alt_times.c
Compiling alt_uncached_free.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_uncached_free.o HAL/src/alt_uncached_free.c
Compiling alt_uncached_malloc.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_uncached_malloc.o HAL/src/alt_uncached_malloc.c
Compiling alt_unlink.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_unlink.o HAL/src/alt_unlink.c
Compiling alt_usleep.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_usleep.o HAL/src/alt_usleep.c
Compiling alt_wait.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_wait.o HAL/src/alt_wait.c
Compiling alt_write.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/alt_write.o HAL/src/alt_write.c
Compiling altera_nios2_gen2_irq.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/HAL/src/altera_nios2_gen2_irq.o HAL/src/altera_nios2_gen2_irq.c
Compiling crt0.S...
nios2-elf-gcc -MP -MMD -c -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -Wa,-gdwarf2 -o obj/HAL/src/crt0.o HAL/src/crt0.S
Compiling alt_sys_init.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/alt_sys_init.o alt_sys_init.c
Compiling altera_avalon_jtag_uart_fd.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/drivers/src/altera_avalon_jtag_uart_fd.o drivers/src/altera_avalon_jtag_uart_fd.c
Compiling altera_avalon_jtag_uart_init.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/drivers/src/altera_avalon_jtag_uart_init.o drivers/src/altera_avalon_jtag_uart_init.c
Compiling altera_avalon_jtag_uart_ioctl.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/drivers/src/altera_avalon_jtag_uart_ioctl.o drivers/src/altera_avalon_jtag_uart_ioctl.c
Compiling altera_avalon_jtag_uart_read.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/drivers/src/altera_avalon_jtag_uart_read.o drivers/src/altera_avalon_jtag_uart_read.c
Compiling altera_avalon_jtag_uart_write.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/drivers/src/altera_avalon_jtag_uart_write.o drivers/src/altera_avalon_jtag_uart_write.c
Compiling altera_avalon_sysid_qsys.c...
nios2-elf-gcc -xc -MP -MMD -c -I./HAL/inc -I. -I./drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/drivers/src/altera_avalon_sysid_qsys.o drivers/src/altera_avalon_sysid_qsys.c
Creating libhal_bsp.a...
rm -f -f libhal_bsp.a
nios2-elf-ar -src libhal_bsp.a obj/HAL/src/alt_alarm_start.o obj/HAL/src/alt_busy_sleep.o obj/HAL/src/alt_close.o obj/HAL/src/alt_dcache_flush.o obj/HAL/src/alt_dcache_flush_all.o obj/HAL/src/alt_dcache_flush_no_writeback.o obj/HAL/src/alt_dev.o obj/HAL/src/alt_dev_llist_insert.o obj/HAL/src/alt_dma_rxchan_open.o obj/HAL/src/alt_dma_txchan_open.o obj/HAL/src/alt_do_ctors.o obj/HAL/src/alt_do_dtors.o obj/HAL/src/alt_ecc_fatal_entry.o obj/HAL/src/alt_ecc_fatal_exception.o obj/HAL/src/alt_env_lock.o obj/HAL/src/alt_environ.o obj/HAL/src/alt_errno.o obj/HAL/src/alt_exception_entry.o obj/HAL/src/alt_exception_muldiv.o obj/HAL/src/alt_exception_trap.o obj/HAL/src/alt_execve.o obj/HAL/src/alt_exit.o obj/HAL/src/alt_fcntl.o obj/HAL/src/alt_fd_lock.o obj/HAL/src/alt_fd_unlock.o obj/HAL/src/alt_find_dev.o obj/HAL/src/alt_find_file.o obj/HAL/src/alt_flash_dev.o obj/HAL/src/alt_fork.o obj/HAL/src/alt_fs_reg.o obj/HAL/src/alt_fstat.o obj/HAL/src/alt_get_fd.o obj/HAL/src/alt_getchar.o obj/HAL/src/alt_getpid.o obj/HAL/src/alt_gettod.o obj/HAL/src/alt_gmon.o obj/HAL/src/alt_icache_flush.o obj/HAL/src/alt_icache_flush_all.o obj/HAL/src/alt_iic.o obj/HAL/src/alt_iic_isr_register.o obj/HAL/src/alt_instruction_exception_entry.o obj/HAL/src/alt_instruction_exception_register.o obj/HAL/src/alt_io_redirect.o obj/HAL/src/alt_ioctl.o obj/HAL/src/alt_irq_entry.o obj/HAL/src/alt_irq_handler.o obj/HAL/src/alt_irq_register.o obj/HAL/src/alt_irq_vars.o obj/HAL/src/alt_isatty.o obj/HAL/src/alt_kill.o obj/HAL/src/alt_link.o obj/HAL/src/alt_load.o obj/HAL/src/alt_log_macro.o obj/HAL/src/alt_log_printf.o obj/HAL/src/alt_lseek.o obj/HAL/src/alt_main.o obj/HAL/src/alt_malloc_lock.o obj/HAL/src/alt_mcount.o obj/HAL/src/alt_open.o obj/HAL/src/alt_printf.o obj/HAL/src/alt_putchar.o obj/HAL/src/alt_putcharbuf.o obj/HAL/src/alt_putstr.o obj/HAL/src/alt_read.o obj/HAL/src/alt_release_fd.o obj/HAL/src/alt_remap_cached.o obj/HAL/src/alt_remap_uncached.o obj/HAL/src/alt_rename.o obj/HAL/src/alt_sbrk.o obj/HAL/src/alt_settod.o obj/HAL/src/alt_software_exception.o obj/HAL/src/alt_stat.o obj/HAL/src/alt_tick.o obj/HAL/src/alt_times.o obj/HAL/src/alt_uncached_free.o obj/HAL/src/alt_uncached_malloc.o obj/HAL/src/alt_unlink.o obj/HAL/src/alt_usleep.o obj/HAL/src/alt_wait.o obj/HAL/src/alt_write.o obj/HAL/src/altera_nios2_gen2_irq.o obj/HAL/src/crt0.o obj/alt_sys_init.o obj/drivers/src/altera_avalon_jtag_uart_fd.o obj/drivers/src/altera_avalon_jtag_uart_init.o obj/drivers/src/altera_avalon_jtag_uart_ioctl.o obj/drivers/src/altera_avalon_jtag_uart_read.o obj/drivers/src/altera_avalon_jtag_uart_write.o obj/drivers/src/altera_avalon_sysid_qsys.o
[BSP build complete]
Info: Compiling arbitration_nios0.c to obj/default/arbitration_nios0.o
nios2-elf-gcc -xc -MP -MMD -c -I../arbitration_nios0_bsp//HAL/inc -I../arbitration_nios0_bsp/ -I../arbitration_nios0_bsp//drivers/inc -pipe -D__hal__ -DALT_NO_INSTRUCTION_EMULATION -DALT_USE_SMALL_DRIVERS -DSMALL_C_LIB -DALT_SINGLE_THREADED -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o obj/default/arbitration_nios0.o arbitration_nios0.c
Info: Linking arbitration_nios0.elf
nios2-elf-g++ -T'../arbitration_nios0_bsp//linker.x' -msys-crt0='../arbitration_nios0_bsp//obj/HAL/src/crt0.o' -msys-lib=hal_bsp -L../arbitration_nios0_bsp/ -msmallc -Wl,-Map=arbitration_nios0.map -O0 -g -Wall -mno-hw-div -mno-hw-mul -mno-hw-mulx -mgpopt=global -o arbitration_nios0.elf obj/default/arbitration_nios0.o -lm -msys-lib=m
nios2-elf-insert arbitration_nios0.elf --thread_model hal --cpu_name nios2_gen2_0 --qsys true --simulation_enabled false --id 0 --sidp 0x0 --timestamp 1732044055 --stderr_dev jtag_uart_0 --stdin_dev jtag_uart_0 --stdout_dev jtag_uart_0 --sopc_system_name nios_system --quartus_project_dir "C:/Users/rmm3470/cpet-561-01-coursework/demos/arbitration_2_demo" --jdi ../..//output_files/part2.jdi --sopcinfo C:/Users/rmm3470/cpet-561-01-coursework/demos/arbitration_2_demo/software/arbitration_nios0_bsp/../../nios_system.sopcinfo
Info: (arbitration_nios0.elf) 5864 Bytes program size (code + initialized data).
Info: 9788 Bytes free for stack + heap.
Info: Creating arbitration_nios0.objdump
nios2-elf-objdump --disassemble --syms --all-header --source arbitration_nios0.elf >arbitration_nios0.objdump
[arbitration_nios0 build complete]
14:43:54 **** Incremental Build of configuration Nios II for project arbitration_nios0 ****
make all
Info: Building ../arbitration_nios0_bsp/
C:/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-mingw32/bin/make --no-print-directory -C ../arbitration_nios0_bsp/
[BSP build complete]
[arbitration_nios0 build complete]

View file

@ -0,0 +1,3 @@
#GitProjectData
#Tue Nov 19 14:36:12 EST 2024
.gitdir=../../../../.git

View file

@ -0,0 +1,3 @@
#GitProjectData
#Tue Nov 19 14:37:43 EST 2024
.gitdir=../../../../.git

View file

@ -0,0 +1,3 @@
#GitProjectData
#Tue Nov 19 14:37:40 EST 2024
.gitdir=../../../../.git

View file

@ -0,0 +1,4 @@
eclipse.preferences.version=1
newSoftwareExampleWizardPage.defaultLocation=C\:\\Users\\rmm3470\\cpet-561-01-coursework\\demos\\arbitration_2_demo\\software\\arbitration_nios0
newSoftwareExampleWizardPage.sopcinfoFile=C\:\\Users\\rmm3470\\cpet-561-01-coursework\\demos\\arbitration_2_demo\\nios_system.sopcinfo
newSoftwareExampleWizardPage2.newBspLocation=C\:\\Users\\rmm3470\\cpet-561-01-coursework\\demos\\arbitration_2_demo\\software\\arbitration_nios0_bsp

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
indexer/preferenceScope=0

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
indexer/preferenceScope=0

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
org.eclipse.cdt.debug.core.cDebug.default_source_containers=<?xml version\="1.0" encoding\="UTF-8" standalone\="no"?>\r\n<sourceLookupDirector>\r\n<sourceContainers duplicates\="false">\r\n<container memento\="AbsolutePath" typeId\="org.eclipse.cdt.debug.core.containerType.absolutePath"/>\r\n<container memento\="programRelativePath" typeId\="org.eclipse.cdt.debug.core.containerType.programRelativePath"/>\r\n<container memento\="&lt;?xml version\=&quot;1.0&quot; encoding\=&quot;UTF-8&quot; standalone\=&quot;no&quot;?&gt;&\#13;&\#10;&lt;project referencedProjects\=&quot;true&quot;/&gt;&\#13;&\#10;" typeId\="org.eclipse.cdt.debug.core.containerType.project"/>\r\n</sourceContainers>\r\n</sourceLookupDirector>\r\n

View file

@ -0,0 +1,3 @@
eclipse.preferences.version=1
properties/arbitration_nios0.null.548025033/preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1029910811=altera.tool.gnu.c.linker.mingw.966586409\=rebuildState\\\=false\\r\\n\r\norg.eclipse.cdt.build.core.settings.holder.2086448284\=rebuildState\\\=true\\r\\n\r\naltera.tool.gnu.assembler.mingw.1098730953\=rebuildState\\\=false\\r\\n\r\npreference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1029910811\=rcState\\\=0\\r\\nrebuildState\\\=false\\r\\n\r\norg.eclipse.cdt.build.core.settings.holder.1728309202\=rebuildState\\\=true\\r\\n\r\norg.eclipse.cdt.build.core.settings.holder.1119299893\=rebuildState\\\=true\\r\\n\r\norg.eclipse.cdt.build.core.settings.holder.libs.280943394\=rebuildState\\\=true\\r\\n\r\naltera.nios2.mingw.gcc4.181616898\=rebuildState\\\=false\\r\\n\r\naltera.tool.gnu.c.compiler.mingw.1189122071\=rebuildState\\\=false\\r\\n\r\norg.eclipse.cdt.build.core.prefbase.toolchain.1033090896\=rebuildState\\\=true\\r\\n\r\naltera.tool.gnu.cpp.compiler.mingw.1470583166\=rebuildState\\\=false\\r\\n\r\naltera.tool.gnu.archiver.mingw.1206316916\=rebuildState\\\=false\\r\\n\r\n
properties/arbitration_nios0_bsp.null.251630088/preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1821276199=altera.tool.gnu.cpp.compiler.mingw.1445728903\=rebuildState\\\=true\\r\\n\r\norg.eclipse.cdt.build.core.settings.holder.984589613\=rebuildState\\\=true\\r\\n\r\norg.eclipse.cdt.build.core.settings.holder.969549176\=rebuildState\\\=true\\r\\n\r\naltera.tool.gnu.assembler.mingw.1592587581\=rebuildState\\\=true\\r\\n\r\naltera.tool.gnu.c.linker.mingw.1995980845\=rebuildState\\\=true\\r\\n\r\npreference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1821276199\=rebuildState\\\=true\\r\\n\r\norg.eclipse.cdt.build.core.settings.holder.libs.1319668034\=rebuildState\\\=true\\r\\n\r\norg.eclipse.cdt.build.core.settings.holder.1525403478\=rebuildState\\\=true\\r\\n\r\naltera.tool.gnu.c.compiler.mingw.1202336090\=rebuildState\\\=true\\r\\n\r\naltera.nios2.mingw.gcc4.1582676127\=rebuildState\\\=true\\r\\n\r\naltera.tool.gnu.archiver.mingw.834174675\=rebuildState\\\=true\\r\\n\r\norg.eclipse.cdt.build.core.prefbase.toolchain.383155729\=rebuildState\\\=true\\r\\n\r\n

View file

@ -0,0 +1,5 @@
//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.applicationLaunchType=org.eclipse.cdt.dsf.gdb.launch.localCLaunch,debug,;org.eclipse.cdt.cdi.launch.localCLaunch,run,;
//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.attachLaunchType=org.eclipse.cdt.dsf.gdb.launch.attachCLaunch,debug,;
//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.postmortemLaunchType=org.eclipse.cdt.dsf.gdb.launch.coreCLaunch,debug,;
//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.remoteApplicationLaunchType=org.eclipse.rse.remotecdt.dsf.debug,debug,;
eclipse.preferences.version=1

View file

@ -0,0 +1,3 @@
eclipse.preferences.version=1
org.eclipse.debug.ui.PREF_LAUNCH_PERSPECTIVES=<?xml version\="1.0" encoding\="UTF-8" standalone\="no"?>\r\n<launchPerspectives/>\r\n
preferredDetailPanes=DefaultDetailPane\:DefaultDetailPane|

View file

@ -0,0 +1,2 @@
GitRepositoriesView.GitDirectories=C\:\\Users\\rmm3470\\cpet-561-01-coursework\\.git;
eclipse.preferences.version=1

View file

@ -0,0 +1,4 @@
eclipse.preferences.version=1
resetSendMode=KEEP
resetSendModeOn=0
sendMode=NOTIFY

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
mylyn.attention.migrated=true

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
org.eclipse.mylyn.monitor.activity.tracking.enabled.checked=true

View file

@ -0,0 +1,3 @@
eclipse.preferences.version=1
org.eclipse.mylyn.tasks.ui.filters.nonmatching=true
org.eclipse.mylyn.tasks.ui.filters.nonmatching.encouraged=true

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
org.eclipse.rse.systemtype.local.systemType.defaultUserId=rmm3470

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
org.eclipse.rse.preferences.order.connections=gol-1360-10.Local

View file

@ -0,0 +1,2 @@
eclipse.preferences.version=1
internalWebBrowserHistory=file\:///C\:/Users/rmm3470/cpet-561-01-coursework/demos/arbitration_2_demo/software/arbitration_nios0_bsp/summary.html|*|file\:/C\:/Users/rmm3470/cpet-561-01-coursework/demos/arbitration_2_demo/software/arbitration_nios0_bsp/summary.html|*|

View file

@ -0,0 +1,2 @@
UIActivities.org.eclipse.cdt.debug.cdigdbActivity=true
eclipse.preferences.version=1

View file

@ -0,0 +1,23 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<launchConfiguration type="com.altera.sbtgui.launch.hardware.Nios2HardwareLaunchConfiguration">
<stringAttribute key="byteStreamDeviceCableName" value="DE-SoC on localhost [USB-1]"/>
<stringAttribute key="byteStreamDeviceDeviceID" value="2"/>
<stringAttribute key="byteStreamDeviceInstanceID" value="0"/>
<stringAttribute key="com.altera.sbtgui.launch.REMOTE_PORT" value="54469"/>
<booleanAttribute key="downloadProgram" value="true"/>
<stringAttribute key="elfFile" value="C:\Users\rmm3470\cpet-561-01-coursework\demos\arbitration_2_demo\software\arbitration_nios0\arbitration_nios0.elf"/>
<stringAttribute key="org.eclipse.cdt.debug.mi.core.GDB_INIT" value=""/>
<stringAttribute key="org.eclipse.cdt.debug.mi.core.commandFactory" value="com.altera.debug.cdi.gdb.plugin.Nios2GDBServerCommandFactory"/>
<stringAttribute key="org.eclipse.cdt.launch.DEBUGGER_ID" value="com.altera.debug.cdi.gdb.plugin.Nios2GdbCdiDebugger"/>
<stringAttribute key="org.eclipse.cdt.launch.DEBUGGER_START_MODE" value="run"/>
<booleanAttribute key="org.eclipse.cdt.launch.DEBUGGER_STOP_AT_MAIN" value="true"/>
<stringAttribute key="org.eclipse.cdt.launch.DEBUGGER_STOP_AT_MAIN_SYMBOL" value="main"/>
<booleanAttribute key="org.eclipse.cdt.launch.ENABLE_REGISTER_BOOKKEEPING" value="false"/>
<booleanAttribute key="org.eclipse.cdt.launch.ENABLE_VARIABLE_BOOKKEEPING" value="false"/>
<stringAttribute key="org.eclipse.cdt.launch.PROGRAM_NAME" value="arbitration_nios0.elf"/>
<stringAttribute key="org.eclipse.cdt.launch.PROJECT_ATTR" value="arbitration_nios0"/>
<stringAttribute key="processorCableName" value="DE-SoC on localhost [USB-1]"/>
<stringAttribute key="processorDeviceIndex" value="2"/>
<stringAttribute key="processorInstanceId" value="0"/>
<booleanAttribute key="runProgram" value="true"/>
</launchConfiguration>

View file

@ -0,0 +1,23 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<launchHistory>
<launchGroup id="org.eclipse.debug.ui.launchGroup.profilee">
<mruHistory/>
<favorites/>
</launchGroup>
<launchGroup id="org.eclipse.debug.ui.launchGroup.debug">
<mruHistory/>
<favorites/>
</launchGroup>
<launchGroup id="org.eclipse.debug.ui.launchGroup.profile">
<mruHistory/>
<favorites/>
</launchGroup>
<launchGroup id="org.eclipse.ui.externaltools.launchGroup">
<mruHistory/>
<favorites/>
</launchGroup>
<launchGroup id="org.eclipse.debug.ui.launchGroup.run">
<mruHistory/>
<favorites/>
</launchGroup>
</launchHistory>

View file

@ -0,0 +1,6 @@
<?xml version="1.0" encoding="UTF-8"?>
<setup:Workspace
xmi:version="2.0"
xmlns:xmi="http://www.omg.org/XMI"
xmlns:setup="http://www.eclipse.org/oomph/setup/1.0"
name="workspace"/>

View file

@ -0,0 +1,57 @@
# RSE DOM Node
00-name=gol-1360-10\:local.files
01-type=FilterPool
03-attr.default=true
03-attr.deletable=true
03-attr.id=local.files
03-attr.nonRenamable=false
03-attr.owningParentName=null
03-attr.release=200
03-attr.singleFilterStringOnly=false
03-attr.singleFilterStringOnlyESet=false
03-attr.stringsCaseSensitive=true
03-attr.supportsDuplicateFilterStrings=false
03-attr.supportsNestedFilters=true
03-attr.type=default
06-child.00000.00-name=My Home
06-child.00000.01-type=Filter
06-child.00000.03-attr.default=false
06-child.00000.03-attr.filterType=default
06-child.00000.03-attr.id=My Home
06-child.00000.03-attr.nonChangable=false
06-child.00000.03-attr.nonDeletable=false
06-child.00000.03-attr.nonRenamable=false
06-child.00000.03-attr.promptable=false
06-child.00000.03-attr.relativeOrder=0
06-child.00000.03-attr.release=200
06-child.00000.03-attr.singleFilterStringOnly=false
06-child.00000.03-attr.stringsCaseSensitive=false
06-child.00000.03-attr.stringsNonChangable=false
06-child.00000.03-attr.supportsDuplicateFilterStrings=false
06-child.00000.03-attr.supportsNestedFilters=true
06-child.00000.06-child.00000.00-name=C\:\\Users\\rmm3470\\*
06-child.00000.06-child.00000.01-type=FilterString
06-child.00000.06-child.00000.03-attr.default=false
06-child.00000.06-child.00000.03-attr.string=C\:\\Users\\rmm3470\\*
06-child.00000.06-child.00000.03-attr.type=default
06-child.00001.00-name=Drives
06-child.00001.01-type=Filter
06-child.00001.03-attr.default=false
06-child.00001.03-attr.filterType=default
06-child.00001.03-attr.id=Drives
06-child.00001.03-attr.nonChangable=false
06-child.00001.03-attr.nonDeletable=false
06-child.00001.03-attr.nonRenamable=false
06-child.00001.03-attr.promptable=false
06-child.00001.03-attr.relativeOrder=0
06-child.00001.03-attr.release=200
06-child.00001.03-attr.singleFilterStringOnly=false
06-child.00001.03-attr.stringsCaseSensitive=false
06-child.00001.03-attr.stringsNonChangable=false
06-child.00001.03-attr.supportsDuplicateFilterStrings=false
06-child.00001.03-attr.supportsNestedFilters=true
06-child.00001.06-child.00000.00-name=*
06-child.00001.06-child.00000.01-type=FilterString
06-child.00001.06-child.00000.03-attr.default=false
06-child.00001.06-child.00000.03-attr.string=*
06-child.00001.06-child.00000.03-attr.type=default

View file

@ -0,0 +1,25 @@
# RSE DOM Node
00-name=Local
01-type=Host
03-attr.description=
03-attr.hostname=LOCALHOST
03-attr.offline=false
03-attr.promptable=false
03-attr.systemType=org.eclipse.rse.systemtype.local
03-attr.type=Local
06-child.00000.00-name=Local Connector Service
06-child.00000.01-type=ConnectorService
06-child.00000.03-attr.group=Local Connector Service
06-child.00000.03-attr.port=0
06-child.00000.03-attr.useSSL=false
06-child.00000.06-child.00000.00-name=Local Files
06-child.00000.06-child.00000.01-type=SubSystem
06-child.00000.06-child.00000.03-attr.hidden=false
06-child.00000.06-child.00000.03-attr.type=local.files
06-child.00000.06-child.00000.06-child.00000.00-name=gol-1360-10___gol-1360-10\:local.files
06-child.00000.06-child.00000.06-child.00000.01-type=FilterPoolReference
06-child.00000.06-child.00000.06-child.00000.03-attr.refID=local.files
06-child.00000.06-child.00001.00-name=Local Shells
06-child.00000.06-child.00001.01-type=SubSystem
06-child.00000.06-child.00001.03-attr.hidden=false
06-child.00000.06-child.00001.03-attr.type=local.shells

View file

@ -0,0 +1,7 @@
# RSE DOM Node
00-name=gol-1360-10
01-type=Profile
03-attr.defaultPrivate=true
03-attr.isActive=true
05-ref.00000=FP.local.files_0
05-ref.00001=H.local_16

View file

@ -0,0 +1,4 @@
<?xml version="1.0" encoding="UTF-8"?>
<workingSetManager>
<workingSet aggregate="true" factoryID="org.eclipse.ui.internal.WorkingSetFactory" id="1732044967573_0" label="Window Working Set" name="Aggregate for window 1732044967573"/>
</workingSetManager>

View file

@ -0,0 +1,3 @@
#Tue Nov 19 14:36:04 EST 2024
org.eclipse.core.runtime=2
org.eclipse.platform=4.5.2.v20160212-1500

View file

@ -0,0 +1,12 @@
<?xml version="1.0" encoding="UTF-8"?>
<projectDescription>
<name>RemoteSystemsTempFiles</name>
<comment></comment>
<projects>
</projects>
<buildSpec>
</buildSpec>
<natures>
<nature>org.eclipse.rse.ui.remoteSystemsTempNature</nature>
</natures>
</projectDescription>

View file

@ -0,0 +1,51 @@
!SESSION 2024-11-19 14:36:08.951 -----------------------------------------------
eclipse.buildId=4.5.2.M20160212-1500
java.version=1.8.0_05
java.vendor=Oracle Corporation
BootLoader constants: OS=win32, ARCH=x86_64, WS=win32, NL=en_US
Framework arguments: -product org.eclipse.epp.package.cpp.product -pluginCustomization C:/intelfpga/18.1/nios2eds/bin/eclipse_nios2/plugin_customization.ini
Command-line arguments: -os win32 -ws win32 -arch x86_64 -product org.eclipse.epp.package.cpp.product -pluginCustomization C:/intelfpga/18.1/nios2eds/bin/eclipse_nios2/plugin_customization.ini
!ENTRY org.eclipse.epp.logging.aeri.ide 2 16 2024-11-19 14:36:30.011
!MESSAGE Server org.eclipse.epp.logging.aeri.ide.server failed with exception: java.lang.IllegalStateException: Expected BEGIN_OBJECT but was STRING at line 1 column 1. ; version: 1.100.0.v20160217-0435
!STACK 0
com.google.gson.JsonSyntaxException: java.lang.IllegalStateException: Expected BEGIN_OBJECT but was STRING at line 1 column 1
at com.google.gson.internal.bind.ReflectiveTypeAdapterFactory$Adapter.read(ReflectiveTypeAdapterFactory.java:176)
at com.google.gson.Gson.fromJson(Gson.java:803)
at com.google.gson.Gson.fromJson(Gson.java:768)
at com.google.gson.Gson.fromJson(Gson.java:717)
at org.eclipse.epp.internal.logging.aeri.ide.server.json.Json.deserialize(Json.java:88)
at org.eclipse.epp.internal.logging.aeri.ide.server.mars.IO.refreshConfiguration(IO.java:70)
at org.eclipse.epp.internal.logging.aeri.ide.server.mars.ServerConnection.startUp(ServerConnection.java:101)
at com.google.common.util.concurrent.AbstractIdleService$2$1.run(AbstractIdleService.java:54)
at com.google.common.util.concurrent.Callables$3.run(Callables.java:93)
at java.lang.Thread.run(Unknown Source)
Caused by: java.lang.IllegalStateException: Expected BEGIN_OBJECT but was STRING at line 1 column 1
at com.google.gson.stream.JsonReader.beginObject(JsonReader.java:374)
at com.google.gson.internal.bind.ReflectiveTypeAdapterFactory$Adapter.read(ReflectiveTypeAdapterFactory.java:165)
... 9 more
!ENTRY org.eclipse.cdt.core 1 0 2024-11-19 14:37:44.148
!MESSAGE Indexed 'arbitration_nios1_bsp' (80 sources, 125 headers) in 1.99 sec: 3,586 declarations; 6,176 references; 87 unresolved inclusions; 6 syntax errors; 169 unresolved names (1.7%)
!ENTRY org.eclipse.cdt.core 1 0 2024-11-19 14:37:44.853
!MESSAGE Indexed 'arbitration_nios1' (0 sources, 0 headers) in 0 sec: 0 declarations; 0 references; 0 unresolved inclusions; 0 syntax errors; 0 unresolved names (0%)
!ENTRY com.altera.sbtgui.launch 1 0 2024-11-19 14:43:55.802
!MESSAGE Executing: [C:/intelfpga/18.1/quartus\bin64\cygwin\bin\bash.exe, -c, nios2-download '--cable=DE-SoC on localhost [USB-1]' --device=2 --instance=1 --sidp=0x0 --id=0x0 --timestamp=1732044055 /cygdrive/c/Users/rmm3470/cpet-561-01-coursework/demos/arbitration_2_demo/software/arbitration_nios1/arbitration_nios1.elf]
!ENTRY com.altera.sbtgui.launch 1 0 2024-11-19 14:43:57.643
!MESSAGE Executing: [C:/intelfpga/18.1/quartus\bin64\cygwin\bin\bash.exe, -c, nios2-download '--cable=DE-SoC on localhost [USB-1]' --device=2 --instance=1 --tcpport=auto --sidp=0x0 --id=0x0 --timestamp=1732044055]
!ENTRY org.eclipse.cdt.debug.mi.core 4 42 2024-11-19 14:47:43.008
!MESSAGE Internal Error
!STACK 0
org.eclipse.cdt.debug.mi.core.cdi.MI2CDIException: Inferior terminated[]
at org.eclipse.cdt.debug.mi.core.cdi.SharedLibraryManager.getMIShareds(SharedLibraryManager.java:123)
at org.eclipse.cdt.debug.mi.core.cdi.SharedLibraryManager.updateState(SharedLibraryManager.java:189)
at org.eclipse.cdt.debug.mi.core.cdi.SharedLibraryManager.update(SharedLibraryManager.java:137)
at org.eclipse.cdt.debug.mi.core.cdi.EventManager.processSuspendedEvent(EventManager.java:329)
at org.eclipse.cdt.debug.mi.core.cdi.EventManager.update(EventManager.java:101)
at java.util.Observable.notifyObservers(Unknown Source)
at org.eclipse.cdt.debug.mi.core.MISession.notifyObservers(MISession.java:797)
at org.eclipse.cdt.debug.mi.core.EventThread.run(EventThread.java:47)

View file

@ -0,0 +1 @@
*** SESSION Nov 19, 2024 14:36:27.60 -------------------------------------------

Some files were not shown because too many files have changed in this diff Show more