mirror of
https://github.com/openhwgroup/cva6.git
synced 2025-04-22 05:07:21 -04:00
🎨 Remove debug interface in core def
This commit is contained in:
parent
ba166ba645
commit
1022c50511
4 changed files with 24 additions and 5 deletions
2
Makefile
2
Makefile
|
@ -68,7 +68,7 @@ $(tests):
|
|||
vopt${questa_version} ${compile_flag} $@_tb -o $@_tb_optimized +acc -check_synthesis
|
||||
# vsim${questa_version} $@_tb_optimized
|
||||
# vsim${questa_version} +UVM_TESTNAME=$@_test -coverage -classdebug $@_tb_optimized
|
||||
# vsim${questa_version} +UVM_TESTNAME=$@_test +uvm_set_action="*,_ALL_,UVM_ERROR,UVM_DISPLAY|UVM_STOP" -c -coverage -classdebug -do "coverage save -onexit $@.ucdb; run -a; quit -code [coverage attribute -name TESTSTATUS -concise]" $@_tb_optimized
|
||||
vsim${questa_version} +UVM_TESTNAME=$@_test +uvm_set_action="*,_ALL_,UVM_ERROR,UVM_DISPLAY|UVM_STOP" -c -coverage -classdebug -do "coverage save -onexit $@.ucdb; run -a; quit -code [coverage attribute -name TESTSTATUS -concise]" $@_tb_optimized
|
||||
|
||||
# User Verilator to lint the target
|
||||
lint:
|
||||
|
|
|
@ -17,8 +17,8 @@ interface debug_if
|
|||
logic rvalid;
|
||||
logic [ADDR_WIDTH-1:0] addr;
|
||||
logic we;
|
||||
logic [64: 0] wdata;
|
||||
logic [64: 0] rdata;
|
||||
logic [63:0] wdata;
|
||||
logic [63:0] rdata;
|
||||
// Master Side
|
||||
//***************************************
|
||||
modport Master
|
||||
|
|
|
@ -51,7 +51,16 @@ module ariane
|
|||
output logic sec_lvl_o,
|
||||
|
||||
// Debug Interface
|
||||
debug_if.Slave debug_if
|
||||
input logic debug_req_i,
|
||||
output logic debug_gnt_o,
|
||||
output logic debug_rvalid_o,
|
||||
input logic [14:0] debug_addr_i,
|
||||
input logic debug_we_i,
|
||||
input logic [63:0] debug_wdata_i,
|
||||
output logic [63:0] debug_rdata_o,
|
||||
output logic debug_halted_o,
|
||||
input logic debug_halt_i,
|
||||
input logic debug_resume_i
|
||||
);
|
||||
|
||||
|
||||
|
|
|
@ -70,7 +70,17 @@ module core_tb;
|
|||
.irq_ack_o ( irq_ack_o ),
|
||||
.irq_sec_i ( irq_sec_i ),
|
||||
.sec_lvl_o ( sec_lvl_o ),
|
||||
.debug_if ( debug_if )
|
||||
|
||||
.debug_req_i ( ),
|
||||
.debug_gnt_o ( ),
|
||||
.debug_rvalid_o ( ),
|
||||
.debug_addr_i ( ),
|
||||
.debug_we_i ( ),
|
||||
.debug_wdata_i ( ),
|
||||
.debug_rdata_o ( ),
|
||||
.debug_halted_o ( ),
|
||||
.debug_halt_i ( ),
|
||||
.debug_resume_i ( )
|
||||
);
|
||||
|
||||
// clock process
|
||||
|
|
Loading…
Add table
Add a link
Reference in a new issue