mirror of
https://github.com/openhwgroup/cva6.git
synced 2025-04-24 14:17:16 -04:00
Fixes to scoreboard, adhering to standard UVM
This commit is contained in:
parent
5491374419
commit
18b947ec02
6 changed files with 9 additions and 10 deletions
4
Makefile
4
Makefile
|
@ -10,8 +10,8 @@ test_top_level = core_tb
|
|||
tests = alu scoreboard fifo mem_arbiter store_queue
|
||||
# path to agents
|
||||
agents = tb/agents/fu_if/fu_if.sv tb/agents/fu_if/fu_if_agent_pkg.sv \
|
||||
include/ariane_pkg.svh tb/agents/scoreboard_if/scoreboard_if_agent_pkg.sv tb/common/eth_tb_pkg.sv
|
||||
# uvm-scaffold/tmp/agent/mem_if/mem_if_agent_pkg.sv
|
||||
include/ariane_pkg.svh tb/agents/scoreboard_if/scoreboard_if_agent_pkg.sv tb/common/eth_tb_pkg.sv \
|
||||
tb/agents/mem_if/mem_if_agent_pkg.sv
|
||||
|
||||
interfaces = include/debug_if.svh include/mem_if.svh tb/agents/fifo_if/fifo_if.sv \
|
||||
tb/agents/store_queue_if/store_queue_if.sv tb/agents/scoreboard_if/scoreboard_if.sv
|
||||
|
|
|
@ -12,7 +12,7 @@ class scoreboard_if_agent_config extends uvm_object;
|
|||
`uvm_object_utils(scoreboard_if_agent_config)
|
||||
|
||||
// Virtual Interface
|
||||
virtual scoreboard_if scoreboard;
|
||||
virtual scoreboard_if fu;
|
||||
//------------------------------------------
|
||||
// Data Members
|
||||
//------------------------------------------
|
||||
|
|
|
@ -11,7 +11,7 @@ class scoreboard_if_driver extends uvm_driver #(scoreboard_if_seq_item);
|
|||
`uvm_component_utils(scoreboard_if_driver)
|
||||
|
||||
// Virtual Interface
|
||||
virtual scoreboard_if scoreboard;
|
||||
virtual scoreboard_if fu;
|
||||
|
||||
//---------------------
|
||||
// Data Members
|
||||
|
@ -32,6 +32,6 @@ class scoreboard_if_driver extends uvm_driver #(scoreboard_if_seq_item);
|
|||
if (!uvm_config_db #(scoreboard_if_agent_config)::get(this, "", "scoreboard_if_agent_config", m_cfg) )
|
||||
`uvm_fatal("CONFIG_LOAD", "Cannot get() configuration scoreboard_if_agent_config from uvm_config_db. Have you set() it?")
|
||||
|
||||
scoreboard = m_cfg.scoreboard;
|
||||
fu = m_cfg.fu;
|
||||
endfunction: build_phase
|
||||
endclass : scoreboard_if_driver
|
|
@ -14,7 +14,7 @@ class scoreboard_if_monitor extends uvm_component;
|
|||
uvm_analysis_port #(scoreboard_if_seq_item) ap;
|
||||
|
||||
// Virtual Interface
|
||||
virtual scoreboard_if scoreboard;
|
||||
virtual scoreboard_if fu;
|
||||
|
||||
//---------------------
|
||||
// Data Members
|
||||
|
@ -36,7 +36,7 @@ class scoreboard_if_monitor extends uvm_component;
|
|||
|
||||
function void connect_phase(uvm_phase phase);
|
||||
// connect virtual interface
|
||||
scoreboard = m_cfg.scoreboard;
|
||||
fu = m_cfg.fu;
|
||||
endfunction
|
||||
|
||||
task run_phase(uvm_phase phase);
|
||||
|
|
|
@ -28,8 +28,7 @@ class alu_test_base extends uvm_test;
|
|||
// ---------------------
|
||||
// Agent configuration
|
||||
// ---------------------
|
||||
// Instruction cache master interface can currently either be
|
||||
// a memory master interface
|
||||
// functional unit interface
|
||||
fu_if_agent_config m_cfg;
|
||||
|
||||
//------------------------------------------
|
||||
|
|
|
@ -1 +1 @@
|
|||
Subproject commit d3f2c11d059196403dcc56e4ad9c5100fcbe30e3
|
||||
Subproject commit 35d68d2a1c6bd990a478c90acc190c2d123ae764
|
Loading…
Add table
Add a link
Reference in a new issue