tracer: Use hart_id for trace file format (#1543)

This commit is contained in:
Florian Zaruba 2023-10-18 17:39:06 +02:00 committed by GitHub
parent 7cd183b710
commit 20c6556e4c
No known key found for this signature in database
GPG key ID: 4AEE18F83AFDEB23

View file

@ -1291,7 +1291,9 @@ module cva6
logic [63:0] cycles;
initial begin
f = $fopen("trace_hart_00.dasm", "w");
string fn;
$sformat(fn, "trace_hart_%0.0f.dasm", hart_id_i);
f = $fopen(fn, "w");
end
always_ff @(posedge clk_i or negedge rst_ni) begin