mirror of
https://github.com/openhwgroup/cva6.git
synced 2025-04-24 14:17:16 -04:00
wt_cache: Fix unknown assertion condition (#664)
This commit is contained in:
parent
6000e32b41
commit
4a8c277ba2
1 changed files with 1 additions and 1 deletions
|
@ -184,7 +184,7 @@ module wt_cache_subsystem import ariane_pkg::*; import wt_cache_pkg::*; #(
|
|||
|
||||
for (genvar j=0; j<2; j++) begin : gen_assertion
|
||||
a_invalid_read_data: assert property (
|
||||
@(posedge clk_i) disable iff (!rst_ni) dcache_req_ports_o[j].data_rvalid |-> (|dcache_req_ports_o[j].data_rdata) !== 1'hX)
|
||||
@(posedge clk_i) disable iff (!rst_ni) dcache_req_ports_o[j].data_rvalid && ~dcache_req_ports_i[j].kill_req |-> (|dcache_req_ports_o[j].data_rdata) !== 1'hX)
|
||||
else $warning(1,"[l1 dcache] reading invalid data on port %01d: data=%016X",
|
||||
j, dcache_req_ports_o[j].data_rdata);
|
||||
end
|
||||
|
|
Loading…
Add table
Add a link
Reference in a new issue