mirror of
https://github.com/openhwgroup/cva6.git
synced 2025-04-23 13:47:13 -04:00
💚 Fix store queue test, missing connections
This commit is contained in:
parent
868a3aad3f
commit
5452af2bd4
3 changed files with 31 additions and 31 deletions
|
@ -29,10 +29,9 @@ interface store_queue_if
|
|||
);
|
||||
|
||||
wire flush;
|
||||
wire [ADDRESS_SIZE-1:0] check_paddr;
|
||||
wire [DATA_WIDTH-1:0] check_data;
|
||||
wire valid;
|
||||
wire [DATA_WIDTH/8-1:0] check_be;
|
||||
wire no_st_pending;
|
||||
wire [11:0] page_offset;
|
||||
wire page_offset_matches;
|
||||
wire commit;
|
||||
wire ready;
|
||||
wire store_valid;
|
||||
|
@ -41,14 +40,15 @@ interface store_queue_if
|
|||
wire [DATA_WIDTH/8-1:0] store_be;
|
||||
|
||||
clocking mck @(posedge clk);
|
||||
output flush, commit, valid, store_paddr, store_data, store_be, store_valid;
|
||||
input check_paddr, check_data, check_be, ready;
|
||||
output flush, commit, store_valid, page_offset, store_paddr, store_data, store_be;
|
||||
input ready, page_offset_matches, no_st_pending;
|
||||
|
||||
endclocking
|
||||
|
||||
|
||||
clocking pck @(posedge clk);
|
||||
input flush, check_paddr, check_data, valid, check_be, commit, ready, store_valid, store_paddr, store_data, store_be;
|
||||
input flush, commit, ready, page_offset, page_offset_matches, store_valid, store_paddr,
|
||||
store_data, store_be, no_st_pending;
|
||||
endclocking
|
||||
|
||||
endinterface
|
||||
|
|
|
@ -58,7 +58,7 @@ class store_queue_if_driver extends uvm_driver #(store_queue_if_seq_item);
|
|||
seq_item_port.item_done();
|
||||
// fork off a commit task
|
||||
// commit a couple of cycles later
|
||||
@(m_vif.mck iff m_vif.pck.valid)
|
||||
@(m_vif.mck iff m_vif.pck.store_valid)
|
||||
fork
|
||||
commit_block: begin
|
||||
sem.get(1);
|
||||
|
|
|
@ -30,30 +30,30 @@ module store_queue_tb;
|
|||
store_queue_if store_queue(clk);
|
||||
|
||||
store_queue dut (
|
||||
.clk_i ( clk ),
|
||||
.rst_ni ( rst_ni ),
|
||||
.flush_i ( store_queue.flush ),
|
||||
.paddr_o ( store_queue.check_paddr ),
|
||||
.data_o ( store_queue.check_data ),
|
||||
.valid_o ( store_queue.valid ),
|
||||
.be_o ( store_queue.check_be ),
|
||||
.commit_i ( store_queue.commit ),
|
||||
.ready_o ( store_queue.ready ),
|
||||
.valid_i ( store_queue.store_valid && store_queue.ready ),
|
||||
.paddr_i ( store_queue.store_paddr ),
|
||||
.data_i ( store_queue.store_data ),
|
||||
.be_i ( store_queue.store_be ),
|
||||
.clk_i ( clk ),
|
||||
.rst_ni ( rst_ni ),
|
||||
.flush_i ( store_queue.flush ),
|
||||
|
||||
.address_index_o ( slave.address_index ),
|
||||
.address_tag_o ( slave.address_tag ),
|
||||
.data_wdata_o ( slave.data_wdata ),
|
||||
.data_req_o ( slave.data_req ),
|
||||
.data_we_o ( slave.data_we ),
|
||||
.data_be_o ( slave.data_be ),
|
||||
.kill_req_o ( slave.kill_req ),
|
||||
.tag_valid_o ( slave.tag_valid ),
|
||||
.data_gnt_i ( slave.data_gnt & slave.data_req ),
|
||||
.data_rvalid_i ( slave.data_rvalid )
|
||||
.no_st_pending_o (),
|
||||
.page_offset_i ( store_queue.page_offset ),
|
||||
.page_offset_matches_o ( store_queue.page_offset_matches ),
|
||||
.commit_i ( store_queue.commit ),
|
||||
.ready_o ( store_queue.ready ),
|
||||
.valid_i ( store_queue.store_valid && store_queue.ready ),
|
||||
.paddr_i ( store_queue.store_paddr ),
|
||||
.data_i ( store_queue.store_data ),
|
||||
.be_i ( store_queue.store_be ),
|
||||
|
||||
.address_index_o ( slave.address_index ),
|
||||
.address_tag_o ( slave.address_tag ),
|
||||
.data_wdata_o ( slave.data_wdata ),
|
||||
.data_req_o ( slave.data_req ),
|
||||
.data_we_o ( slave.data_we ),
|
||||
.data_be_o ( slave.data_be ),
|
||||
.kill_req_o ( slave.kill_req ),
|
||||
.tag_valid_o ( slave.tag_valid ),
|
||||
.data_gnt_i ( slave.data_gnt & slave.data_req ),
|
||||
.data_rvalid_i ( slave.data_rvalid )
|
||||
);
|
||||
|
||||
initial begin
|
||||
|
|
Loading…
Add table
Add a link
Reference in a new issue