Add ifndef VERILATOR in instruction tracer files

This commit is contained in:
Michael Schaffner 2019-05-27 16:43:25 +02:00 committed by Florian Zaruba
parent 45762c7c67
commit fca6a7738c
5 changed files with 11 additions and 1 deletions

View file

@ -12,6 +12,7 @@
// Date: 16.05.2017
// Description: Instruction Tracer Defines
`ifndef VERILATOR
package instr_tracer_pkg;
parameter INSTR_NOP = 32'h00_00_00_13;
@ -197,4 +198,5 @@ package instr_tracer_pkg;
parameter [31:0] C_LDSP = 32'b????????????????011???????????10;
parameter [31:0] C_SDSP = 32'b????????????????111???????????10;
endpackage
endpackage
`endif

View file

@ -12,6 +12,7 @@
// Date: 17.06.2017
// Description: Instruction tracer single exception item
`ifndef VERILATOR
class ex_trace_item;
// contains a human readable form of the cause value
string cause_s;
@ -72,3 +73,4 @@ class ex_trace_item;
endfunction
endclass : ex_trace_item
`endif

View file

@ -12,6 +12,7 @@
// Date: 30.05.2017
// Description: Instruction tracer single instruction item
`ifndef VERILATOR
function string printPCexpr(input logic [63:0] imm);
// check if the sign bit is set
if ($signed(imm) > 0) begin
@ -721,3 +722,4 @@ class instr_trace_item;
return this.printRInstr(s);
endfunction
endclass
`endif

View file

@ -12,6 +12,7 @@
// Date: 16.05.2017
// Description: Instruction Tracer Main Class
`ifndef VERILATOR
//pragma translate_off
import uvm_pkg::*;
`include "uvm_macros.svh"
@ -221,3 +222,4 @@ module instr_tracer (
// pragma translate_on
endmodule : instr_tracer
`endif

View file

@ -12,6 +12,7 @@
// Date: 16.05.2017
// Description: Instruction Tracer Interface
`ifndef VERILATOR
`ifndef INSTR_TRACER_IF_SV
`define INSTR_TRACER_IF_SV
interface instr_tracer_if (
@ -63,3 +64,4 @@ interface instr_tracer_if (
endinterface
`endif
`endif