Fix lint issues

This commit is contained in:
Luka Macan 2020-10-30 20:14:04 +01:00 committed by Philipp Wagner
parent abb9d32a6c
commit 999140ded8
5 changed files with 12 additions and 9 deletions

View file

@ -14,9 +14,9 @@ module tb_cs_registers #(
parameter ibex_pkg::rv32m_e RV32M = ibex_pkg::RV32MFast
) (
// Clock and Reset
inout wire clk_i,
inout wire in_rst_ni,
output wire test_passed_o
inout logic clk_i,
inout logic in_rst_ni,
output logic test_passed_o
);
logic dpi_rst_ni;

View file

@ -34,13 +34,13 @@ module ibex_riscv_compliance (
assign rst_sys_n = IO_RST_N;
// Bus hosts, ordered in decreasing priority
typedef enum {
typedef enum logic[1:0] {
TestUtilHost,
CoreD,
CoreI
} bus_host_e;
typedef enum {
typedef enum logic {
Ram,
TestUtilDevice
} bus_device_e;

View file

@ -72,6 +72,7 @@ module riscv_testutil (
ADDR_SET_END_SIGNATURE: begin
end_signature_addr_d = dev_wdata_i;
end
default: ;
endcase
end
end
@ -144,6 +145,8 @@ module riscv_testutil (
$display("Terminating simulation by software request.");
$finish;
end
default: ;
endcase
end

View file

@ -148,7 +148,7 @@ interface ibex_icache_core_if (input clk, input rst_n);
cover property (cancelled_valid);
bit cancelled_valid_trig = 0;
function void cover_cancelled_valid();
function automatic void cover_cancelled_valid();
cancelled_valid_trig = ~cancelled_valid_trig;
endfunction

View file

@ -50,11 +50,11 @@ module ibex_simple_system (
logic clk_sys = 1'b0, rst_sys_n;
typedef enum {
typedef enum logic {
CoreD
} bus_host_e;
typedef enum {
typedef enum logic[1:0] {
Ram,
SimCtrl,
Timer
@ -117,7 +117,7 @@ module ibex_simple_system (
#8
rst_sys_n = 1'b1;
end
always begin
always_comb begin
#1 clk_sys = 1'b0;
#1 clk_sys = 1'b1;
end