removed double semicolon

This commit is contained in:
Igor Loi 2017-05-27 23:48:45 +02:00
parent 8a0a3a991e
commit f2a0c39638
2 changed files with 2 additions and 2 deletions

View file

@ -777,7 +777,7 @@ module zeroriscy_id_stage
`ifndef VERILATOR
// make sure that branch decision is valid when jumping
assert property (
@(posedge clk) (branch_decision_i !== 1'bx || branch_in_id == 1'b0) ) else begin $display("Branch decision is X"); $stop; end;
@(posedge clk) (branch_decision_i !== 1'bx || branch_in_id == 1'b0) ) else begin $display("Branch decision is X"); $stop; end
`ifdef CHECK_MISALIGNED
assert property (

View file

@ -58,7 +58,7 @@ module zeroriscy_register_file
);
localparam ADDR_WIDTH = RV32E ? 4 : 5;;
localparam ADDR_WIDTH = RV32E ? 4 : 5;
localparam NUM_WORDS = 2**ADDR_WIDTH;
logic [DATA_WIDTH-1:0] mem[NUM_WORDS];