Finished synthesis with optimization

This commit is contained in:
Lingjun Zhu 2019-10-28 17:10:30 -04:00
parent b6558714ca
commit 0d8a7be5c6
2 changed files with 325530 additions and 328869 deletions

654393
syn/dc.log

File diff suppressed because it is too large Load diff

View file

@ -22,9 +22,9 @@ set_ideal_network [get_ports clk]
set_max_fanout 20 [get_ports reset]
set_false_path -from [get_ports reset]
set_register_merging Vortex FALSE
set compile_seqmap_propagate_constants false
set compile_seqmap_propagate_high_effort false
# set_register_merging Vortex FALSE
# set compile_seqmap_propagate_constants false
# set compile_seqmap_propagate_high_effort false
compile_ultra -no_autoungroup
ungroup -all -flatten