string refactoring

This commit is contained in:
Blaise Tine 2023-04-26 21:01:23 -04:00
parent b2f58aabd8
commit 568fbd63ca
38 changed files with 44 additions and 44 deletions

View file

@ -9,7 +9,7 @@ module VX_mem_arb #(
parameter TAG_SEL_IDX = 0,
parameter BUFFERED_REQ = 0,
parameter BUFFERED_RSP = 0,
parameter `STRING_TYPE ARBITER = "R"
parameter `STRING ARBITER = "R"
) (
input wire clk,
input wire reset,

View file

@ -116,19 +116,19 @@
`define NO_RW_RAM_CHECK (* altera_attribute = "-name add_pass_through_logic_to_inferred_rams off" *)
`define DISABLE_BRAM (* ramstyle = "logic" *)
`define PRESERVE_NET (* preserve *)
`define STRING_TYPE string
`define STRING string
`elsif VIVADO
`define USE_FAST_BRAM (* ram_style = "distributed" *)
`define NO_RW_RAM_CHECK (* rw_addr_collision = "no" *)
`define DISABLE_BRAM (* ram_style = "registers" *)
`define PRESERVE_NET (* keep = "true" *)
`define STRING_TYPE
`define STRING
`else
`define USE_FAST_BRAM
`define NO_RW_RAM_CHECK
`define DISABLE_BRAM
`define PRESERVE_NET
`define STRING_TYPE string
`define STRING string
`endif
///////////////////////////////////////////////////////////////////////////////

View file

@ -1,7 +1,7 @@
`include "VX_cache_define.vh"
module VX_cache #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
// Number of Word requests per cycle
parameter NUM_REQS = 4,

View file

@ -9,7 +9,7 @@ module VX_cache_arb #(
parameter TAG_SEL_IDX = 0,
parameter BUFFERED_REQ = 0,
parameter BUFFERED_RSP = 0,
parameter `STRING_TYPE ARBITER = "R"
parameter `STRING ARBITER = "R"
) (
input wire clk,
input wire reset,

View file

@ -1,7 +1,7 @@
`include "VX_cache_define.vh"
module VX_cache_bank #(
parameter `STRING_TYPE INSTANCE_ID= "",
parameter `STRING INSTANCE_ID= "",
parameter BANK_ID = 0,
// Number of Word requests per cycle

View file

@ -1,7 +1,7 @@
`include "VX_cache_define.vh"
module VX_cache_cluster #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_UNITS = 1,
parameter NUM_INPUTS = 1,
@ -212,7 +212,7 @@ endmodule
///////////////////////////////////////////////////////////////////////////////
module VX_cache_cluster_top #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_UNITS = 8,
parameter NUM_INPUTS = 2,

View file

@ -1,7 +1,7 @@
`include "VX_cache_define.vh"
module VX_cache_data #(
parameter `STRING_TYPE INSTANCE_ID= "",
parameter `STRING INSTANCE_ID= "",
parameter BANK_ID = 0,
// Size of cache in bytes
parameter CACHE_SIZE = 1,

View file

@ -1,7 +1,7 @@
`include "VX_cache_define.vh"
module VX_cache_mshr #(
parameter `STRING_TYPE INSTANCE_ID= "",
parameter `STRING INSTANCE_ID= "",
parameter BANK_ID = 0,
// Number of Word requests per cycle

View file

@ -1,7 +1,7 @@
`include "VX_cache_define.vh"
module VX_cache_tags #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter BANK_ID = 0,
// Size of cache in bytes
parameter CACHE_SIZE = 1,

View file

@ -1,7 +1,7 @@
`include "VX_cache_define.vh"
module VX_cache_wrap #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
// Number of Word requests per cycle
parameter NUM_REQS = 4,

View file

@ -1,7 +1,7 @@
`include "VX_define.vh"
module VX_shared_mem #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
// Size of cache in bytes
parameter SIZE = (1024*16*8),

View file

@ -8,7 +8,7 @@ module VX_smem_switch #(
parameter TAG_SEL_IDX = 0,
parameter BUFFERED_REQ = 0,
parameter BUFFERED_RSP = 0,
parameter `STRING_TYPE ARBITER = "R"
parameter `STRING ARBITER = "R"
) (
input wire clk,
input wire reset,

View file

@ -8,7 +8,7 @@ module VX_fpu_arb #(
parameter TAG_SEL_IDX = 0,
parameter BUFFERED_REQ = 0,
parameter BUFFERED_RSP = 0,
parameter `STRING_TYPE ARBITER = "R"
parameter `STRING ARBITER = "R"
) (
input wire clk,
input wire reset,

View file

@ -6,7 +6,7 @@ import VX_fpu_types::*;
`IGNORE_WARNINGS_END
module VX_fpu_unit #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_LANES = 1,
parameter TAG_WIDTH = 1
) (

View file

@ -4,7 +4,7 @@
module VX_generic_arbiter #(
parameter NUM_REQS = 1,
parameter LOCK_ENABLE = 0,
parameter `STRING_TYPE TYPE = "P",
parameter `STRING TYPE = "P",
parameter LOG_NUM_REQS = `LOG2UP(NUM_REQS)
) (
input wire clk,

View file

@ -2,7 +2,7 @@
`TRACING_OFF
module VX_mem_scheduler #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_REQS = 4,
parameter NUM_BANKS = 4,
parameter ADDR_WIDTH = 32,

View file

@ -4,7 +4,7 @@
module VX_reduce #(
parameter DATAW = 1,
parameter N = 1,
parameter `STRING_TYPE OP = "+"
parameter `STRING OP = "+"
) (
input wire [N-1:0][DATAW-1:0] data_in,
output wire [DATAW-1:0] data_out

View file

@ -6,7 +6,7 @@ module VX_stream_arb #(
parameter NUM_OUTPUTS = 1,
parameter NUM_LANES = 1,
parameter DATAW = 1,
parameter `STRING_TYPE ARBITER = "P",
parameter `STRING ARBITER = "P",
parameter LOCK_ENABLE = 1,
parameter MAX_FANOUT = 8,
parameter BUFFERED = 0

View file

@ -5,7 +5,7 @@ module VX_raster_arb #(
parameter NUM_OUTPUTS = 1,
parameter NUM_LANES = 1,
parameter BUFFERED = 0,
parameter `STRING_TYPE ARBITER = "R"
parameter `STRING ARBITER = "R"
) (
input wire clk,
input wire reset,

View file

@ -6,7 +6,7 @@
`include "VX_raster_define.vh"
module VX_raster_be #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter BLOCK_LOGSIZE = 5,
parameter OUTPUT_QUADS = 2,
parameter QUAD_FIFO_DEPTH = 4

View file

@ -1,7 +1,7 @@
`include "VX_raster_define.vh"
module VX_raster_dcr #(
parameter `STRING_TYPE INSTANCE_ID = ""
parameter `STRING INSTANCE_ID = ""
) (
input wire clk,
input wire reset,

View file

@ -7,7 +7,7 @@
// 3. Return the primitives with associated tile.
module VX_raster_mem #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter INSTANCE_IDX = 0,
parameter NUM_INSTANCES = 1,
parameter TILE_LOGSIZE = 5,

View file

@ -6,7 +6,7 @@
`include "VX_raster_define.vh"
module VX_raster_qe #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_QUADS = 4
) (
input wire clk,

View file

@ -7,7 +7,7 @@
`include "VX_raster_define.vh"
module VX_raster_slice #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter TILE_LOGSIZE = 5,
parameter BLOCK_LOGSIZE = 2,
parameter OUTPUT_QUADS = 4,

View file

@ -7,7 +7,7 @@
`include "VX_raster_define.vh"
module VX_raster_te #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter TILE_LOGSIZE = 5,
parameter BLOCK_LOGSIZE = 2
) (

View file

@ -1,7 +1,7 @@
`include "VX_raster_define.vh"
module VX_raster_unit #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter INSTANCE_IDX = 0,
parameter NUM_INSTANCES = 1,
parameter NUM_SLICES = 1, // number of slices
@ -351,7 +351,7 @@ endmodule
///////////////////////////////////////////////////////////////////////////////
module VX_raster_unit_top #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter INSTANCE_IDX = 0,
parameter NUM_INSTANCES = 1,
parameter NUM_SLICES = 1, // number of slices

View file

@ -5,7 +5,7 @@ module VX_rop_arb #(
parameter NUM_OUTPUTS = 1,
parameter NUM_LANES = 1,
parameter BUFFERED = 0,
parameter `STRING_TYPE ARBITER = "R"
parameter `STRING ARBITER = "R"
) (
input wire clk,
input wire reset,

View file

@ -1,7 +1,7 @@
`include "VX_rop_define.vh"
module VX_rop_blend #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_LANES = 4,
parameter TAG_WIDTH = 1
) (

View file

@ -1,7 +1,7 @@
`include "VX_rop_define.vh"
module VX_rop_dcr #(
parameter `STRING_TYPE INSTANCE_ID = ""
parameter `STRING INSTANCE_ID = ""
) (
input wire clk,
input wire reset,

View file

@ -1,7 +1,7 @@
`include "VX_rop_define.vh"
module VX_rop_ds #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_LANES = 4,
parameter TAG_WIDTH = 1
) (

View file

@ -2,7 +2,7 @@
// Module for handling memory requests
module VX_rop_mem #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_LANES = 4,
parameter TAG_WIDTH = 1
) (

View file

@ -1,7 +1,7 @@
`include "VX_rop_define.vh"
module VX_rop_unit #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_LANES = 4
) (
input wire clk,
@ -381,7 +381,7 @@ endmodule
///////////////////////////////////////////////////////////////////////////////
module VX_rop_unit_top #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_LANES = `NUM_THREADS
) (
input wire clk,

View file

@ -1,7 +1,7 @@
`include "VX_tex_define.vh"
module VX_tex_addr #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter REQ_INFOW = 1,
parameter NUM_LANES = 1
) (

View file

@ -8,7 +8,7 @@ module VX_tex_arb #(
parameter TAG_SEL_IDX = 0,
parameter BUFFERED_REQ = 0,
parameter BUFFERED_RSP = 0,
parameter `STRING_TYPE ARBITER = "R"
parameter `STRING ARBITER = "R"
) (
input wire clk,
input wire reset,

View file

@ -1,7 +1,7 @@
`include "VX_tex_define.vh"
module VX_tex_dcr #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_STAGES = 1
) (
input wire clk,

View file

@ -1,7 +1,7 @@
`include "VX_tex_define.vh"
module VX_tex_mem #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter REQ_INFOW = 1,
parameter NUM_LANES = 1
) (

View file

@ -1,7 +1,7 @@
`include "VX_tex_define.vh"
module VX_tex_sampler #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter REQ_INFOW = 1,
parameter NUM_LANES = 1
) (

View file

@ -1,7 +1,7 @@
`include "VX_tex_define.vh"
module VX_tex_unit #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_LANES = 1,
parameter TAG_WIDTH = 1
) (
@ -277,7 +277,7 @@ endmodule
///////////////////////////////////////////////////////////////////////////////
module VX_tex_unit_top #(
parameter `STRING_TYPE INSTANCE_ID = "",
parameter `STRING INSTANCE_ID = "",
parameter NUM_LANES = `NUM_THREADS,
parameter TAG_WIDTH = `TEX_REQ_TAG_WIDTH
) (