mirror of
https://github.com/vortexgpgpu/vortex.git
synced 2025-04-23 21:39:10 -04:00
RTL optimizations
This commit is contained in:
parent
7507e36149
commit
65036e2d34
6 changed files with 41 additions and 128 deletions
2
hw/rtl/cache/VX_cache.sv
vendored
2
hw/rtl/cache/VX_cache.sv
vendored
|
@ -471,7 +471,7 @@ module VX_cache import VX_gpu_pkg::*; #(
|
|||
VX_stream_arb #(
|
||||
.NUM_INPUTS (NUM_BANKS),
|
||||
.DATAW (`CS_MEM_ADDR_WIDTH + 1 + WORD_SEL_WIDTH + WORD_SIZE + `CS_WORD_WIDTH + MSHR_ADDR_WIDTH),
|
||||
.ARBITER ("R")
|
||||
.ARBITER ("F")
|
||||
) mem_req_arb (
|
||||
.clk (clk),
|
||||
.reset (mem_arb_reset),
|
||||
|
|
|
@ -289,7 +289,7 @@ module VX_scoreboard import VX_gpu_pkg::*; #(
|
|||
VX_stream_arb #(
|
||||
.NUM_INPUTS (PER_ISSUE_WARPS),
|
||||
.DATAW (DATAW),
|
||||
.ARBITER ("R"),
|
||||
.ARBITER ("F"),
|
||||
.LUTRAM (1),
|
||||
.OUT_BUF (4) // using 2-cycle EB for area reduction
|
||||
) out_arb (
|
||||
|
|
|
@ -38,17 +38,17 @@ module VX_fair_arbiter #(
|
|||
|
||||
end else begin
|
||||
|
||||
reg [NUM_REQS-1:0] buffer;
|
||||
reg [NUM_REQS-1:0] grant_mask;
|
||||
|
||||
wire [NUM_REQS-1:0] buffer_qual = buffer & requests;
|
||||
wire [NUM_REQS-1:0] requests_qual = (| buffer) ? buffer_qual : requests;
|
||||
wire [NUM_REQS-1:0] buffer_n = requests_qual & ~grant_onehot;
|
||||
wire [NUM_REQS-1:0] requests_rem = requests & ~grant_mask;
|
||||
wire rem_valid = (| requests_rem);
|
||||
wire [NUM_REQS-1:0] requests_qual = rem_valid ? requests_rem : requests;
|
||||
|
||||
always @(posedge clk) begin
|
||||
if (reset) begin
|
||||
buffer <= '0;
|
||||
grant_mask <= '0;
|
||||
end else if (grant_ready) begin
|
||||
buffer <= buffer_n;
|
||||
grant_mask <= rem_valid ? (grant_mask | grant_onehot) : grant_onehot;
|
||||
end
|
||||
end
|
||||
|
||||
|
|
|
@ -1,10 +1,10 @@
|
|||
// Copyright © 2019-2023
|
||||
//
|
||||
//
|
||||
// Licensed under the Apache License, Version 2.0 (the "License");
|
||||
// you may not use this file except in compliance with the License.
|
||||
// You may obtain a copy of the License at
|
||||
// http://www.apache.org/licenses/LICENSE-2.0
|
||||
//
|
||||
//
|
||||
// Unless required by applicable law or agreed to in writing, software
|
||||
// distributed under the License is distributed on an "AS IS" BASIS,
|
||||
// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
|
||||
|
@ -19,131 +19,36 @@ module VX_onehot_mux #(
|
|||
parameter N = 1,
|
||||
parameter MODEL = 1
|
||||
) (
|
||||
input wire [N-1:0][DATAW-1:0] data_in,
|
||||
input wire [N-1:0] sel_in,
|
||||
input wire [N-1:0][DATAW-1:0] data_in,
|
||||
input wire [N-1:0] sel_in,
|
||||
output wire [DATAW-1:0] data_out
|
||||
);
|
||||
);
|
||||
if (N == 1) begin
|
||||
`UNUSED_VAR (sel_in)
|
||||
assign data_out = data_in;
|
||||
end else if (N == 2) begin
|
||||
`UNUSED_VAR (sel_in)
|
||||
assign data_out = sel_in[0] ? data_in[0] : data_in[1];
|
||||
end else if (N == 3) begin
|
||||
end else if (MODEL == 1) begin
|
||||
wire [N-1:0][DATAW-1:0] mask;
|
||||
for (genvar i = 0; i < N; ++i) begin
|
||||
assign mask[i] = {DATAW{sel_in[i]}} & data_in[i];
|
||||
end
|
||||
for (genvar i = 0; i < DATAW; ++i) begin
|
||||
wire [N-1:0] gather;
|
||||
for (genvar j = 0; j < N; ++j) begin
|
||||
assign gather[j] = mask[j][i];
|
||||
end
|
||||
assign data_out[i] = (| gather);
|
||||
end
|
||||
end else if (MODEL == 2) begin
|
||||
reg [DATAW-1:0] data_out_r;
|
||||
always @(*) begin
|
||||
case (sel_in)
|
||||
3'b001: data_out_r = data_in[0];
|
||||
3'b010: data_out_r = data_in[1];
|
||||
3'b100: data_out_r = data_in[2];
|
||||
default: data_out_r = 'x;
|
||||
endcase
|
||||
end
|
||||
assign data_out = data_out_r;
|
||||
end else if (N == 4) begin
|
||||
reg [DATAW-1:0] data_out_r;
|
||||
always @(*) begin
|
||||
case (sel_in)
|
||||
4'b0001: data_out_r = data_in[0];
|
||||
4'b0010: data_out_r = data_in[1];
|
||||
4'b0100: data_out_r = data_in[2];
|
||||
4'b1000: data_out_r = data_in[3];
|
||||
default: data_out_r = 'x;
|
||||
endcase
|
||||
end
|
||||
assign data_out = data_out_r;
|
||||
end else if (N == 5) begin
|
||||
reg [DATAW-1:0] data_out_r;
|
||||
always @(*) begin
|
||||
case (sel_in)
|
||||
5'b00001: data_out_r = data_in[0];
|
||||
5'b00010: data_out_r = data_in[1];
|
||||
5'b00100: data_out_r = data_in[2];
|
||||
5'b01000: data_out_r = data_in[3];
|
||||
5'b10000: data_out_r = data_in[4];
|
||||
default: data_out_r = 'x;
|
||||
endcase
|
||||
end
|
||||
assign data_out = data_out_r;
|
||||
end else if (N == 6) begin
|
||||
reg [DATAW-1:0] data_out_r;
|
||||
always @(*) begin
|
||||
case (sel_in)
|
||||
6'b000001: data_out_r = data_in[0];
|
||||
6'b000010: data_out_r = data_in[1];
|
||||
6'b000100: data_out_r = data_in[2];
|
||||
6'b001000: data_out_r = data_in[3];
|
||||
6'b010000: data_out_r = data_in[4];
|
||||
6'b100000: data_out_r = data_in[5];
|
||||
default: data_out_r = 'x;
|
||||
endcase
|
||||
end
|
||||
assign data_out = data_out_r;
|
||||
end else if (N == 7) begin
|
||||
reg [DATAW-1:0] data_out_r;
|
||||
always @(*) begin
|
||||
case (sel_in)
|
||||
7'b0000001: data_out_r = data_in[0];
|
||||
7'b0000010: data_out_r = data_in[1];
|
||||
7'b0000100: data_out_r = data_in[2];
|
||||
7'b0001000: data_out_r = data_in[3];
|
||||
7'b0010000: data_out_r = data_in[4];
|
||||
7'b0100000: data_out_r = data_in[5];
|
||||
7'b1000000: data_out_r = data_in[6];
|
||||
default: data_out_r = 'x;
|
||||
endcase
|
||||
end
|
||||
assign data_out = data_out_r;
|
||||
end else if (N == 8) begin
|
||||
reg [DATAW-1:0] data_out_r;
|
||||
always @(*) begin
|
||||
case (sel_in)
|
||||
8'b00000001: data_out_r = data_in[0];
|
||||
8'b00000010: data_out_r = data_in[1];
|
||||
8'b00000100: data_out_r = data_in[2];
|
||||
8'b00001000: data_out_r = data_in[3];
|
||||
8'b00010000: data_out_r = data_in[4];
|
||||
8'b00100000: data_out_r = data_in[5];
|
||||
8'b01000000: data_out_r = data_in[6];
|
||||
8'b10000000: data_out_r = data_in[7];
|
||||
default: data_out_r = 'x;
|
||||
endcase
|
||||
end
|
||||
assign data_out = data_out_r;
|
||||
end else begin
|
||||
if (MODEL == 1) begin
|
||||
reg [DATAW-1:0] data_out_r;
|
||||
always @(*) begin
|
||||
data_out_r = 'x;
|
||||
for (integer i = 0; i < N; ++i) begin
|
||||
if (sel_in[i]) begin
|
||||
data_out_r = data_in[i];
|
||||
end
|
||||
data_out_r = 'x;
|
||||
for (integer i = 0; i < N; ++i) begin
|
||||
if (sel_in[i]) begin
|
||||
data_out_r = data_in[i];
|
||||
end
|
||||
end
|
||||
assign data_out = data_out_r;
|
||||
end else if (MODEL == 2) begin
|
||||
reg [DATAW-1:0] data_out_r;
|
||||
always @(*) begin
|
||||
data_out_r = '0;
|
||||
for (integer i = 0; i < N; ++i) begin
|
||||
data_out_r |= {DATAW{sel_in[i]}} & data_in[i];
|
||||
end
|
||||
end
|
||||
assign data_out = data_out_r;
|
||||
end else if (MODEL == 3) begin
|
||||
wire [N-1:0][DATAW-1:0] mask;
|
||||
for (genvar i = 0; i < N; ++i) begin
|
||||
assign mask[i] = {DATAW{sel_in[i]}} & data_in[i];
|
||||
end
|
||||
for (genvar i = 0; i < DATAW; ++i) begin
|
||||
wire [N-1:0] gather;
|
||||
for (genvar j = 0; j < N; ++j) begin
|
||||
assign gather[j] = mask[j][i];
|
||||
end
|
||||
assign data_out[i] = (| gather);
|
||||
end
|
||||
end
|
||||
assign data_out = data_out_r;
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
|
|
@ -174,9 +174,17 @@ module VX_stream_arb #(
|
|||
);
|
||||
|
||||
assign valid_in_r = arb_valid;
|
||||
assign data_in_r = data_in[arb_index];
|
||||
assign arb_ready = ready_in_r;
|
||||
|
||||
VX_onehot_mux #(
|
||||
.DATAW (DATAW),
|
||||
.N (NUM_REQS)
|
||||
) onehot_mux (
|
||||
.data_in (data_in),
|
||||
.sel_in (arb_onehot),
|
||||
.data_out (data_in_r)
|
||||
);
|
||||
|
||||
for (genvar i = 0; i < NUM_REQS; ++i) begin
|
||||
assign ready_in[i] = ready_in_r & arb_onehot[i];
|
||||
end
|
||||
|
|
|
@ -1 +1 @@
|
|||
create_clock -name {clk} -period "200 MHz" -waveform { 0.000 1.0 } [get_ports {clk}]
|
||||
create_clock -name {clk} -period "220 MHz" -waveform { 0.000 1.0 } [get_ports {clk}]
|
Loading…
Add table
Add a link
Reference in a new issue