fma synthesis and test vectors

This commit is contained in:
David Harris 2025-04-21 06:25:27 -07:00
parent d294516beb
commit 32e2caff89
19 changed files with 390529 additions and 0 deletions

4
.gitignore vendored
View file

@ -174,3 +174,7 @@ config/deriv
sim/slack-notifier/slack-webhook-url.txt
docs/docker/buildroot-config-src
docs/docker/testvector-generation
examples/exercises/fma16/synthDC/alib-52
examples/exercises/fma16/synthDC/default.svf

View file

@ -0,0 +1,38 @@
// fma16wrapper.sv
// David_Harris@hmc.edu 21 April 2025
// synthesis wrapper for fma providing clock and registers for timing analysis
module fma16wrapper(
input logic clk,
input logic [15:0] x, y, z,
input logic mul, add, negp, negz,
input logic [1:0] roundmode, // 00: rz, 01: rne, 10: rp, 11: rn
output logic [15:0] result,
output logic [3:0] flags // invalid, overflow, underflow, inexact
);
logic [15:0] xint, yint, zint;
logic mulint, addint, negpint, negzint;
logic [1:0] roundmodeint;
logic [15:0] resultint;
logic [3:0] flagsint;
// flip-flops to put timing constraints on inputs
always_ff @(posedge clk) begin
{xint, yint, zint} <= {x, y, z};
{mulint, addint, negpint, negzint} <= {mul, add, negp, negz};
roundmodeint <= roundmode;
end
// module being synthesized
fma16 fma16(xint, yint, zint,
mulint, addint, negpint, negzint,
roundmodeint,
resultint, flagsint);
// flip-flops to put timing constraints on outputs
always_ff @(posedge clk) begin
{result, flags} <= {resultint, flagsint};
end
endmodule

View file

@ -0,0 +1,127 @@
# Search Paths
set CURRENT_DIR [exec pwd]
set search_path [list "./" ]
set tech $::env(TECH)
if { [info exists ::env(RISCV)] } {
set timing_lib $::env(RISCV)/cad/lib
} else {
set timing_lib ../addins
}
if {$tech == "sky130"} {
set s8lib $timing_lib/sky130_osu_sc_t12/12T_ms/lib
lappend search_path $s8lib
} elseif {$tech == "sky90"} {
set s9lib $timing_lib/sky90/sky90_sc/V1.7.4/lib
lappend search_path $s9lib
} elseif {$tech == "tsmc28"} {
set s10lib $SYN_pdk/TSMCHOME/digital/Front_End/timing_power_noise/NLDM/tcbn28hpcplusbwp30p140_180a
lappend search_path $s10lib
} elseif {$tech == "tsmc28psyn"} {
set s10lib $SYN_pdk/TSMCHOME/digital/Front_End/timing_power_noise/NLDM/tcbn28hpcplusbwp30p140_180a
lappend search_path $s10lib
set TLUPLUS true
set mw_logic1_net VDD
set mw_logic0_net VSS
set CAPTABLE $SYN_TLU/1p8m/
set MW_REFERENCE_LIBRARY $SYN_MW
set MW_TECH_FILE tcbn28hpcplusbwp30p140
set MIN_TLU_FILE $CAPTABLE/crn28hpc+_1p08m+ut-alrdl_5x1z1u_rcbest.tluplus
set MAX_TLU_FILE $CAPTABLE/crn28hpc+_1p08m+ut-alrdl_5x1z1u_rcworst.tluplus
set PRS_MAP_FILE $MW_REFERENCE_LIBRARY/astro_layername.map
}
# Synthetic libraries
set synthetic_library [list dw_foundation.sldb]
# Set standard cell libraries
set target_library [list]
#lappend target_library scc9gena_tt_1.2v_25C.db
if {$tech == "sky130"} {
lappend target_library $s8lib/sky130_osu_sc_12T_ms_TT_1P8_25C.ccs.db
} elseif {$tech == "sky90"} {
lappend target_library $s9lib/scc9gena_tt_1.2v_25C.db
} elseif {$tech == "tsmc28"} {
lappend target_library $s10lib/tcbn28hpcplusbwp30p140tt0p9v25c.db
} elseif {$tech == "tsmc28psyn"} {
set mw_reference_library [list ]
lappend target_library $s10lib/tcbn28hpcplusbwp30p140tt0p9v25c.db
lappend mw_reference_library $MW_REFERENCE_LIBRARY/tcbn28hpcplusbwp30p140
}
# Set up DesignWare cache read and write directories to speed up compile.
set cache_write ~
set cache_read $cache_write
# Tell DC where to look for files
lappend search_path ./scripts
lappend search_path ./hdl
lappend search_path ./mapped
if {($tech == "tsmc28psyn")} {
lappend target_library $SYN_memory/ts1n28hpcpsvtb64x128m4sw_180a/NLDM/ts1n28hpcpsvtb64x128m4sw_tt0p9v25c.db
lappend target_library $SYN_memory/ts1n28hpcpsvtb64x44m4sw_180a/NLDM/ts1n28hpcpsvtb64x44m4sw_tt0p9v25c.db
lappend target_library $SYN_memory/tsdn28hpcpa1024x68m4mw_130a/NLDM/tsdn28hpcpa1024x68m4mw_tt0p9v25c.db
lappend target_library $SYN_memory/tsdn28hpcpa64x32m4mw_130a/NLDM/tsdn28hpcpa64x32m4mw_tt0p9v25c.db
lappend mw_reference_library $MW_REFERENCE_LIBRARY/ts1n28hpcpsvtb64x44m4sw
lappend mw_reference_library $MW_REFERENCE_LIBRARY/ts1n28hpcpsvtb64x128m4sw
lappend mw_reference_library $MW_REFERENCE_LIBRARY/tsdn28hpcpa1024x68m4mw
lappend mw_reference_library $MW_REFERENCE_LIBRARY/tsdn28hpcpa64x32m4mw
}
# Set Link Library
set link_library "$target_library $synthetic_library"
# Set up User Information
set company "Detect-o-rama"
set user "Ben Bitdiddle"
# Alias
alias ra report_area
alias rt report_timing
alias rc {report_constraint -all_violators}
alias sf set_flatten
alias ss set_structure
alias rco report_compile_options
alias cs compile -scan
alias csi compile -scan -incr -map high
alias h history
history keep 100
alias all_gone {remove_design -designs}
alias page_on {set sh_enable_page_mode true}
alias page_off {set sh_enable_page_mode false}
# specify directory for intermediate files from analyze
define_design_lib DEFAULT -path ./analyzed
# suppress Driving cell warning
suppress_message {UID-401}
########### Source Useful Tcl Procedures ###########
foreach _file [glob -nocomplain ./tcl_procs/*.tcl] {
source $_file
}
############# Enable line editing in 2004.12 ###########
set sh_enable_line_editing true
############# Setup the view utility ###########
proc view {args} {
redirect tmpfile1212 {uplevel $args}
# Without redirect, exec echos the PID of the new process to the screen
redirect /dev/null {exec ./tcl_procs/view.tk tmpfile1212 "$args" &}
}
alias vrt {view report_timing -nosplit}
alias vrtm {view report_timing -nosplit -delay min}
alias vman {view man}

View file

@ -0,0 +1,64 @@
#
# Makefile for synthesis
# Shreya Sanghai (ssanghai@hmc.edu) 2/28/2022
# Madeleine Masser-Frye (mmasserfrye@hmc.edu) 1/27/2023
NAME := synth
# defaults
export DESIGN ?= fma16
export FREQ ?= 10000
# title to add a note in the synth's directory name
TITLE =
# tsmc28, sky130, and sky90 presently supported
export TECH ?= sky130
# MAXCORES allows parallel compilation, which is faster but less CPU-efficient
# Avoid when doing sweeps of many optimization points in parallel
export MAXCORES ?= 1
# MAXOPT turns on flattening, boundary optimization, and retiming
# The output netlist is hard to interpret, but significantly better PPA
export MAXOPT ?= 0
export DRIVE ?= FLOP
export USESRAM ?= 0
export WIDTH ?= 32
time := $(shell date +%F-%H-%M)
hash := $(shell git rev-parse --short HEAD)
export OUTPUTDIR := runs/$(FREQ)_MHz_$(time)
export SAIFPOWER ?= 0
default:
@echo " Basic synthesis procedure for individual verilog file:"
@echo " Invoke with make synth"
ifeq ($(SAIFPOWER), 1)
cp -f ../sim/power.saif .
endif
mkdirecs:
@echo "DC Synthesis"
@mkdir -p $(OUTPUTDIR)
@mkdir -p $(OUTPUTDIR)/hdl
@mkdir -p $(OUTPUTDIR)/reports
@mkdir -p $(OUTPUTDIR)/mapped
@mkdir -p $(OUTPUTDIR)/unmapped
synth: mkdirecs rundc # clean
rundc:
ifeq ($(TECH), tsmc28psyn)
dc_shell-xg-t -64bit -topographical_mode -f scripts/$(NAME).tcl | tee $(OUTPUTDIR)/$(NAME).out
else
dc_shell-xg-t -64bit -f scripts/$(NAME).tcl | tee $(OUTPUTDIR)/$(NAME).out
endif
clean:
rm -rf $(OUTPUTDIR)/hdl
rm -rf $(OUTPUTDIR)/WORK
rm -rf $(OUTPUTDIR)/alib-52
rm -f default.svf
rm -f command.log
rm -f filenames*.log
rm -f power.saif
rm -f Synopsys_stack_trace_*.txt
rm -f crte_*.txt

View file

@ -0,0 +1,61 @@
# Synthesis for RISC-V Microprocessor System-on-Chip Design
This subdirectory contains synthesis scripts for use with Synopsys
(snps) Design Compiler (DC). Synthesis commands are found in
`scripts/synth.tcl`.
## Example Usage
```bash
make synth DESIGN=wallypipelinedcore FREQ=500 CONFIG=rv32e
```
## Environment Variables
- `DESIGN`
- Design provides the name of the output log. Default is synth.
- `FREQ`
- Frequency in MHz. Default is 500
- `CONFIG`
- The Wally configuration file. The default is rv32e.
- Examples: rv32e, rv64gc, rv32gc
- `TECH`
- The target standard cell library. The default is sky130.
- Options:
- sky90: skywater 90nm TT 25C
- sky130: skywater 130nm TT 25C
- `SAIFPOWER`
- Controls if power analysis is driven by switching factor or RTL modelsim simulation. When enabled requires a saif file named power.saif. The default is 0.
- Options:
- 0: switching factor power analysis
- 1: RTL simulation driven power analysis.
## Extra Tool (PPA)
To run ppa analysis that hones into target frequency, you can type:
`python3 ppa/ppaSynth.py` from the synthDC directory. This runs a sweep
across all modules listed at the bottom of the `ppaSynth.py` file.
Two options for running the sweep. The first run runs all modules for
all techs around a given frequency (i.e., freqs). The second option
will run all designs for the specific module based on bestSynths.csv
values. Since the second option is 2nd, it has priority. If the
second set of values is commented out, it will run all widths.
**WARNING:** The first option may runs lots of runs that could expend all the licenses available for a license. Therefore, care must be taken to be sure that enough licenses are available for this first option.
### Run specific syntheses
```python
widths = [8, 16, 32, 64, 128]
modules = ['mul', 'adder', 'shifter', 'flop', 'comparator', 'binencoder', 'csa', 'mux2', 'mux4', 'mux8']
techs = ['sky90', 'sky130', 'tsmc28', 'tsmc28psyn']
freqs = [5000]
synthsToRun = allCombos(widths, modules, techs, freqs)
```
### Run a sweep based on best delay found in existing syntheses
```python
module = 'adder'
width = 32
tech = 'tsmc28psyn'
synthsToRun = freqSweep(module, width, tech)
```

View file

@ -0,0 +1,322 @@
#
# Synthesis Synopsys Flow
# james.stine@okstate.edu 27 Sep 2015
#
# start run clock
set t1 [clock seconds]
# Ignore unnecessary warnings:
# intraassignment delays for nonblocking assignments are ignored
suppress_message {VER-130}
# statements in initial blocks are ignored
suppress_message {VER-281}
suppress_message {VER-173}
# Unsupported system task '$warn'
suppress_message {VER-274}
# Disable Warning: Little argument or return value checking implemented for system task or function '$readmemh'. (VER-209)
suppress_message {VER-209}
# Enable Multicore
set_host_options -max_cores $::env(MAXCORES)
# get outputDir and configDir from environment (Makefile)
set outputDir $::env(OUTPUTDIR)
set hdl_src ".."
set saifpower $::env(SAIFPOWER)
set maxopt $::env(MAXOPT)
set drive $::env(DRIVE)
eval file copy -force [glob ${hdl_src}/fma16.sv] {$outputDir/hdl/}
eval file copy -force [glob ${hdl_src}/fma16wrapper.sv] {$outputDir/hdl/}
# Check if a wrapper is needed and create it (to pass parameters when cvw_t parameters are used)
set wrapper 0
# Enables name mapping
if { $saifpower == 1 } {
saif_map -start
}
# Verilog files
set my_verilog_files [glob $outputDir/hdl/cvw.sv $outputDir/hdl/*.sv]
# Set toplevel
set my_toplevel fma16wrapper
set my_design $::env(DESIGN)
# Set number of significant digits
set report_default_significant_digits 6
# V(HDL) Unconnectoed Pins Output
set verilogout_show_unconnected_pins "true"
set vhdlout_show_unconnected_pins "true"
# Set up MW List
set MY_LIB_NAME $my_toplevel
# Create MW
if { [shell_is_in_topographical_mode] } {
echo "In Topographical Mode...processing\n"
create_mw_lib -technology $MW_REFERENCE_LIBRARY/$MW_TECH_FILE.tf \
-mw_reference_library $mw_reference_library $outputDir/$MY_LIB_NAME
# Open MW
open_mw_lib $outputDir/$MY_LIB_NAME
# TLU+
set_tlu_plus_files -max_tluplus $MAX_TLU_FILE -min_tluplus $MIN_TLU_FILE \
-tech2itf_map $PRS_MAP_FILE
} else {
echo "In normal DC mode...processing\n"
}
# Due to parameterized Verilog must use analyze/elaborate and not
# read_verilog/vhdl (change to pull in Verilog and/or VHDL)
#
#set alib_library_analysis_path ./$outputDir
define_design_lib WORK -path ./$outputDir/WORK
analyze -f sverilog -lib WORK $my_verilog_files
elaborate $my_toplevel -lib WORK
# Set the current_design
current_design $my_toplevel
link
# Reset all constraints
reset_design
# Power Dissipation Analysis
######### OPTIONAL !!!!!!!!!!!!!!!!
if { $saifpower == 1 } {
read_saif -input power.saif -instance_name testbench/dut/core -auto_map_names -verbose
}
# Set reset false path
if {$drive != "INV"} {
set_false_path -from [get_ports reset]
}
# for PPA multiplexer synthesis
if {(($::env(DESIGN) == "ppa_mux2d_1") || ($::env(DESIGN) == "ppa_mux4d_1") || ($::env(DESIGN) == "ppa_mux8d_1"))} {
set_false_path -from {s}
}
# Set Frequency in [MHz] or period in [ns]
set my_clock_pin clk
set my_uncertainty 0.0
set my_clk_freq_MHz $::env(FREQ)
set my_period [expr 1000.0 / $my_clk_freq_MHz]
# Create clock object
set find_clock [ find port [list $my_clock_pin] ]
if { $find_clock != [list] } {
echo "Found clock!"
set my_clk $my_clock_pin
create_clock -period $my_period $my_clk
set_clock_uncertainty $my_uncertainty [get_clocks $my_clk]
} else {
echo "Did not find clock! Design is probably combinational!"
set my_clk vclk
create_clock -period $my_period -name $my_clk
}
# Optimize paths that are close to critical
set_critical_range 0.05 $current_design
# Partitioning - flatten or hierarchically synthesize
if { $maxopt == 1 } {
ungroup -all -simple_names -flatten
}
# Set input pins except clock
set all_in_ex_clk [remove_from_collection [all_inputs] [get_ports $my_clk]]
# Specifies delays be propagated through the clock network
# This is getting optimized poorly in the current flow, causing a lot of clock skew
# and unrealistic bad timing results.
# set_propagated_clock [get_clocks $my_clk]
# Setting constraints on input ports
if {$tech == "sky130"} {
if {$drive == "INV"} {
set_driving_cell -lib_cell inv -pin Y $all_in_ex_clk
} elseif {$drive == "FLOP"} {
set_driving_cell -lib_cell sky130_osu_sc_12T_ms__dff_1 -pin Q $all_in_ex_clk
}
} elseif {$tech == "sky90"} {
if {$drive == "INV"} {
set_driving_cell -lib_cell scc9gena_inv_1 -pin Y $all_in_ex_clk
} elseif {$drive == "FLOP"} {
set_driving_cell -lib_cell scc9gena_dfxbp_1 -pin Q $all_in_ex_clk
}
} elseif {$tech == "tsmc28" || $tech=="tsmc28psyn"} {
if {$drive == "INV"} {
set_driving_cell -lib_cell INVD1BWP30P140 -pin ZN $all_in_ex_clk
} elseif {$drive == "FLOP"} {
set_driving_cell -lib_cell DFQD1BWP30P140 -pin Q $all_in_ex_clk
}
}
# Set input/output delay
if {$drive == "FLOP"} {
set_input_delay 0.0 -max -clock $my_clk $all_in_ex_clk
set_output_delay 0.0 -max -clock $my_clk [all_outputs]
} else {
set_input_delay 0.0 -max -clock $my_clk $all_in_ex_clk
set_output_delay 0.0 -max -clock $my_clk [all_outputs]
}
# Setting load constraint on output ports
if {$tech == "sky130"} {
if {$drive == "INV"} {
set_load [expr [load_of sky130_osu_sc_12T_ms_TT_1P8_25C.ccs/sky130_osu_sc_12T_ms__inv_4/A] * 1] [all_outputs]
} elseif {$drive == "FLOP"} {
set_load [expr [load_of sky130_osu_sc_12T_ms_TT_1P8_25C.ccs/sky130_osu_sc_12T_ms__dff_1/D] * 1] [all_outputs]
}
} elseif {$tech == "sky90"} {
if {$drive == "INV"} {
set_load [expr [load_of scc9gena_tt_1.2v_25C/scc9gena_inv_4/A] * 1] [all_outputs]
} elseif {$drive == "FLOP"} {
set_load [expr [load_of scc9gena_tt_1.2v_25C/scc9gena_dfxbp_1/D] * 1] [all_outputs]
}
} elseif {$tech == "tsmc28" || $tech == "tsmc28psyn"} {
if {$drive == "INV"} {
set_load [expr [load_of tcbn28hpcplusbwp30p140tt0p9v25c/INVD4BWP30P140/I] * 1] [all_outputs]
} elseif {$drive == "FLOP"} {
set_load [expr [load_of tcbn28hpcplusbwp30p140tt0p9v25c/DFQD1BWP30P140/D] * 1] [all_outputs]
}
}
if {$tech != "tsmc28psyn"} {
# Set the wire load model
set_wire_load_mode "top"
}
# Set switching activities
# default activity factors are 1 for clocks, 0.1 for others
# static probability of 0.5 is used for leakage
# Attempt Area Recovery - if looking for minimal area
# set_max_area 2000
# Set fanout
set_max_fanout 6 $all_in_ex_clk
# Fix hold time violations (DH: this doesn't seem to be working right now)
#set_fix_hold [all_clocks]
# Deal with constants and buffers to isolate ports
set_fix_multiple_port_nets -all -buffer_constants
# setting up the group paths to find out the required timings
# group_path -name OUTPUTS -to [all_outputs]
# group_path -name INPUTS -from [all_inputs]
# group_path -name COMBO -from [all_inputs] -to [all_outputs]
# Save Unmapped Design
# set filename [format "%s%s%s%s" $outputDir "/unmapped/" $my_toplevel ".ddc"]
# write_file -format ddc -hierarchy -o $filename
# Compile statements
if { $maxopt == 1 } {
compile_ultra -retime
optimize_registers
} else {
compile_ultra -no_seq_output_inversion -no_boundary_optimization
}
# Eliminate need for assign statements (yuck!)
set verilogout_no_tri true
set verilogout_equation false
# setting to generate output files
set write_v 1 ;# generates structual netlist
set write_sdc 1 ;# generates synopsys design constraint file for p&r
set write_ddc 1 ;# compiler file in ddc format
set write_sdf 1 ;# sdf file for backannotated timing sim
set write_pow 1 ;# genrates estimated power report
set write_rep 1 ;# generates estimated area and timing report
set write_cst 1 ;# generate report of constraints
set write_hier 1 ;# generate hierarchy report
# Report on DESIGN, not wrapper. However, design has a suffix for the parameters.
if { $wrapper == 1 } {
set designname [format "%s%s" $my_design "__*"]
current_design $designname
# recreate clock below wrapper level or reporting doesn't work properly
set find_clock [ find port [list $my_clock_pin] ]
if { $find_clock != [list] } {
echo "Found clock!"
set my_clk $my_clock_pin
create_clock -period $my_period $my_clk
set_clock_uncertainty $my_uncertainty [get_clocks $my_clk]
} else {
echo "Did not find clock! Design is probably combinational!"
set my_clk vclk
create_clock -period $my_period -name $my_clk
}
}
# Report Constraint Violators
set filename [format "%s%s" $outputDir "/reports/constraint_all_violators.rpt"]
redirect $filename {report_constraint -all_violators}
# Check design
redirect $outputDir/reports/check_design.rpt { check_design }
# Report Final Netlist (Hierarchical)
set filename [format "%s%s%s%s" $outputDir "/mapped/" $my_design ".sv"]
write_file -f verilog -hierarchy -output $filename
set filename [format "%s%s%s%s" $outputDir "/mapped/" $my_design ".sdc"]
write_sdc $filename
set filename [format "%s%s%s%s" $outputDir "/mapped/" $my_design ".ddc"]
write_file -format ddc -hierarchy -o $filename
set filename [format "%s%s%s%s" $outputDir "/mapped/" $my_design ".sdf"]
write_sdf $filename
# Write SPEF file in case need more precision power exploration for TSMC28psyn
if {$tech == "tsmc28psyn"} {
set filename [format "%s%s%s%s" $outputDir "/mapped/" $my_toplevel ".spef"]
redirect $filename { write_parasitics }
}
# QoR
set filename [format "%s%s" $outputDir "/reports/qor.rep"]
redirect $filename { report_qor }
# Report Timing
set filename [format "%s%s" $outputDir "/reports/reportpath.rep"]
#redirect $filename { report_path_group }
set filename [format "%s%s" $outputDir "/reports/timing.rep"]
redirect $filename { report_timing -capacitance -transition_time -nets -nworst 1 }
set filename [format "%s%s" $outputDir "/reports/mindelay.rep"]
redirect $filename { report_timing -capacitance -transition_time -nets -delay_type min -nworst 1 }
#set filename [format "%s%s" $outputDir "/reports/per_module_timing.rep"]
#redirect -append $filename { echo "\n\n\n//// Critical paths through Stall ////\n\n\n" }
#redirect -append $filename { report_timing -capacitance -transition_time -nets -through {Stall*} -nworst 1 }
set filename [format "%s%s" $outputDir "/reports/area.rep"]
redirect $filename { report_area -hierarchy -nosplit -physical -designware}
set filename [format "%s%s" $outputDir "/reports/power.rep"]
redirect $filename { report_power -hierarchy -levels 1 }
set filename [format "%s%s" $outputDir "/reports/constraint.rep"]
redirect $filename { report_constraint }
set filename [format "%s%s" $outputDir "/reports/hier.rep"]
# redirect $filename { report_hierarchy }
# end run clock and echo run time in minutes
set t2 [clock seconds]
set t [expr $t2 - $t1]
echo [expr $t/60]
quit

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,5 @@
// Add with exponent of 0, significand of 1.0 and 1.1, RZ
3c00_3c00_3c00_04_4000_0 // 1.000000 + 1.000000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_3e00_04_4100_0 // 1.000000 + 1.500000 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_3c00_04_4100_0 // 1.500000 + 1.000000 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_3e00_04_4200_0 // 1.500000 + 1.500000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0

View file

@ -0,0 +1,577 @@
// Add with various exponents and unsigned fractions, RZ
0400_3c00_0400_04_0800_0 // 0.000061 + 0.000061 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
0400_3c00_0600_04_0900_0 // 0.000061 + 0.000092 = 0.000153 NV: 0 OF: 0 UF: 0 NX: 0
0400_3c00_0401_04_0800_1 // 0.000061 + 0.000061 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_07ff_04_09ff_1 // 0.000061 + 0.000122 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_3800_04_3800_1 // 0.000061 + 0.500000 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_3a00_04_3a00_1 // 0.000061 + 0.750000 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_3801_04_3801_1 // 0.000061 + 0.500488 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_3bff_04_3bff_1 // 0.000061 + 0.999512 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_3c00_04_3c00_1 // 0.000061 + 1.000000 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_3e00_04_3e00_1 // 0.000061 + 1.500000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_3c01_04_3c01_1 // 0.000061 + 1.000977 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_3fff_04_3fff_1 // 0.000061 + 1.999023 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_4000_04_4000_1 // 0.000061 + 2.000000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_4200_04_4200_1 // 0.000061 + 3.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_4001_04_4001_1 // 0.000061 + 2.001953 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_43ff_04_43ff_1 // 0.000061 + 3.998047 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_5000_04_5000_1 // 0.000061 + 32.000000 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_5200_04_5200_1 // 0.000061 + 48.000000 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_5001_04_5001_1 // 0.000061 + 32.031250 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_53ff_04_53ff_1 // 0.000061 + 63.968750 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_7800_04_7800_1 // 0.000061 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_7a00_04_7a00_1 // 0.000061 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
0400_3c00_7801_04_7801_1 // 0.000061 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 0400_3c00_7bff_04_7bff_1 // 0.000061 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_0400_04_0900_0 // 0.000092 + 0.000061 = 0.000153 NV: 0 OF: 0 UF: 0 NX: 0
0600_3c00_0600_04_0a00_0 // 0.000092 + 0.000092 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 0
0600_3c00_0401_04_0900_1 // 0.000092 + 0.000061 = 0.000153 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_07ff_04_0aff_1 // 0.000092 + 0.000122 = 0.000214 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_3800_04_3800_1 // 0.000092 + 0.500000 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_3a00_04_3a00_1 // 0.000092 + 0.750000 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_3801_04_3801_1 // 0.000092 + 0.500488 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_3bff_04_3bff_1 // 0.000092 + 0.999512 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_3c00_04_3c00_1 // 0.000092 + 1.000000 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_3e00_04_3e00_1 // 0.000092 + 1.500000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_3c01_04_3c01_1 // 0.000092 + 1.000977 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_3fff_04_3fff_1 // 0.000092 + 1.999023 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_4000_04_4000_1 // 0.000092 + 2.000000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_4200_04_4200_1 // 0.000092 + 3.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_4001_04_4001_1 // 0.000092 + 2.001953 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_43ff_04_43ff_1 // 0.000092 + 3.998047 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_5000_04_5000_1 // 0.000092 + 32.000000 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_5200_04_5200_1 // 0.000092 + 48.000000 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_5001_04_5001_1 // 0.000092 + 32.031250 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_53ff_04_53ff_1 // 0.000092 + 63.968750 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_7800_04_7800_1 // 0.000092 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_7a00_04_7a00_1 // 0.000092 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_7801_04_7801_1 // 0.000092 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 0600_3c00_7bff_04_7bff_1 // 0.000092 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_0400_04_0800_1 // 0.000061 + 0.000061 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_0600_04_0900_1 // 0.000061 + 0.000092 = 0.000153 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_0401_04_0801_0 // 0.000061 + 0.000061 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
0401_3c00_07ff_04_0a00_0 // 0.000061 + 0.000122 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 0
0401_3c00_3800_04_3800_1 // 0.000061 + 0.500000 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_3a00_04_3a00_1 // 0.000061 + 0.750000 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_3801_04_3801_1 // 0.000061 + 0.500488 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_3bff_04_3bff_1 // 0.000061 + 0.999512 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_3c00_04_3c00_1 // 0.000061 + 1.000000 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_3e00_04_3e00_1 // 0.000061 + 1.500000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_3c01_04_3c01_1 // 0.000061 + 1.000977 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_3fff_04_3fff_1 // 0.000061 + 1.999023 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_4000_04_4000_1 // 0.000061 + 2.000000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_4200_04_4200_1 // 0.000061 + 3.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_4001_04_4001_1 // 0.000061 + 2.001953 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_43ff_04_43ff_1 // 0.000061 + 3.998047 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_5000_04_5000_1 // 0.000061 + 32.000000 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_5200_04_5200_1 // 0.000061 + 48.000000 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_5001_04_5001_1 // 0.000061 + 32.031250 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_53ff_04_53ff_1 // 0.000061 + 63.968750 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_7800_04_7800_1 // 0.000061 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_7a00_04_7a00_1 // 0.000061 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_7801_04_7801_1 // 0.000061 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 0401_3c00_7bff_04_7bff_1 // 0.000061 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_0400_04_09ff_1 // 0.000122 + 0.000061 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_0600_04_0aff_1 // 0.000122 + 0.000092 = 0.000214 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_0401_04_0a00_0 // 0.000122 + 0.000061 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 0
07ff_3c00_07ff_04_0bff_0 // 0.000122 + 0.000122 = 0.000244 NV: 0 OF: 0 UF: 0 NX: 0
07ff_3c00_3800_04_3800_1 // 0.000122 + 0.500000 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_3a00_04_3a00_1 // 0.000122 + 0.750000 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_3801_04_3801_1 // 0.000122 + 0.500488 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_3bff_04_3bff_1 // 0.000122 + 0.999512 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_3c00_04_3c00_1 // 0.000122 + 1.000000 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_3e00_04_3e00_1 // 0.000122 + 1.500000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_3c01_04_3c01_1 // 0.000122 + 1.000977 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_3fff_04_3fff_1 // 0.000122 + 1.999023 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_4000_04_4000_1 // 0.000122 + 2.000000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_4200_04_4200_1 // 0.000122 + 3.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_4001_04_4001_1 // 0.000122 + 2.001953 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_43ff_04_43ff_1 // 0.000122 + 3.998047 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_5000_04_5000_1 // 0.000122 + 32.000000 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_5200_04_5200_1 // 0.000122 + 48.000000 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_5001_04_5001_1 // 0.000122 + 32.031250 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_53ff_04_53ff_1 // 0.000122 + 63.968750 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_7800_04_7800_1 // 0.000122 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_7a00_04_7a00_1 // 0.000122 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_7801_04_7801_1 // 0.000122 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 07ff_3c00_7bff_04_7bff_1 // 0.000122 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_0400_04_3800_1 // 0.500000 + 0.000061 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_0600_04_3800_1 // 0.500000 + 0.000092 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_0401_04_3800_1 // 0.500000 + 0.000061 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_07ff_04_3800_1 // 0.500000 + 0.000122 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_3800_04_3c00_0 // 0.500000 + 0.500000 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_3a00_04_3d00_0 // 0.500000 + 0.750000 = 1.250000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_3801_04_3c00_1 // 0.500000 + 0.500488 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_3bff_04_3dff_1 // 0.500000 + 0.999512 = 1.499023 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_3c00_04_3e00_0 // 0.500000 + 1.000000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_3e00_04_4000_0 // 0.500000 + 1.500000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_3c01_04_3e01_0 // 0.500000 + 1.000977 = 1.500977 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_3fff_04_40ff_1 // 0.500000 + 1.999023 = 2.498047 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_4000_04_4100_0 // 0.500000 + 2.000000 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_4200_04_4300_0 // 0.500000 + 3.000000 = 3.500000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_4001_04_4101_0 // 0.500000 + 2.001953 = 2.501953 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_43ff_04_447f_1 // 0.500000 + 3.998047 = 4.496094 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_5000_04_5010_0 // 0.500000 + 32.000000 = 32.500000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_5200_04_5210_0 // 0.500000 + 48.000000 = 48.500000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_5001_04_5011_0 // 0.500000 + 32.031250 = 32.531250 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_53ff_04_5407_1 // 0.500000 + 63.968750 = 64.437500 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_7800_04_7800_1 // 0.500000 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_7a00_04_7a00_1 // 0.500000 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
3800_3c00_7801_04_7801_1 // 0.500000 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 3800_3c00_7bff_04_7bff_1 // 0.500000 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_0400_04_3a00_1 // 0.750000 + 0.000061 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_0600_04_3a00_1 // 0.750000 + 0.000092 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_0401_04_3a00_1 // 0.750000 + 0.000061 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_07ff_04_3a00_1 // 0.750000 + 0.000122 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_3800_04_3d00_0 // 0.750000 + 0.500000 = 1.250000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c00_3a00_04_3e00_0 // 0.750000 + 0.750000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c00_3801_04_3d00_1 // 0.750000 + 0.500488 = 1.250000 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_3bff_04_3eff_1 // 0.750000 + 0.999512 = 1.749023 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_3c00_04_3f00_0 // 0.750000 + 1.000000 = 1.750000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c00_3e00_04_4080_0 // 0.750000 + 1.500000 = 2.250000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c00_3c01_04_3f01_0 // 0.750000 + 1.000977 = 1.750977 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c00_3fff_04_417f_1 // 0.750000 + 1.999023 = 2.748047 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_4000_04_4180_0 // 0.750000 + 2.000000 = 2.750000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c00_4200_04_4380_0 // 0.750000 + 3.000000 = 3.750000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c00_4001_04_4181_0 // 0.750000 + 2.001953 = 2.751953 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c00_43ff_04_44bf_1 // 0.750000 + 3.998047 = 4.746094 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_5000_04_5018_0 // 0.750000 + 32.000000 = 32.750000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c00_5200_04_5218_0 // 0.750000 + 48.000000 = 48.750000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c00_5001_04_5019_0 // 0.750000 + 32.031250 = 32.781250 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c00_53ff_04_540b_1 // 0.750000 + 63.968750 = 64.687500 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_7800_04_7800_1 // 0.750000 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_7a00_04_7a00_1 // 0.750000 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_7801_04_7801_1 // 0.750000 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 3a00_3c00_7bff_04_7bff_1 // 0.750000 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_0400_04_3801_1 // 0.500488 + 0.000061 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_0600_04_3801_1 // 0.500488 + 0.000092 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_0401_04_3801_1 // 0.500488 + 0.000061 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_07ff_04_3801_1 // 0.500488 + 0.000122 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_3800_04_3c00_1 // 0.500488 + 0.500000 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_3a00_04_3d00_1 // 0.500488 + 0.750000 = 1.250000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_3801_04_3c01_0 // 0.500488 + 0.500488 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 0
3801_3c00_3bff_04_3e00_0 // 0.500488 + 0.999512 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 0
3801_3c00_3c00_04_3e00_1 // 0.500488 + 1.000000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_3e00_04_4000_1 // 0.500488 + 1.500000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_3c01_04_3e01_1 // 0.500488 + 1.000977 = 1.500977 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_3fff_04_40ff_1 // 0.500488 + 1.999023 = 2.498047 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_4000_04_4100_1 // 0.500488 + 2.000000 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_4200_04_4300_1 // 0.500488 + 3.000000 = 3.500000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_4001_04_4101_1 // 0.500488 + 2.001953 = 2.501953 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_43ff_04_447f_1 // 0.500488 + 3.998047 = 4.496094 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_5000_04_5010_1 // 0.500488 + 32.000000 = 32.500000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_5200_04_5210_1 // 0.500488 + 48.000000 = 48.500000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_5001_04_5011_1 // 0.500488 + 32.031250 = 32.531250 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_53ff_04_5407_1 // 0.500488 + 63.968750 = 64.437500 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_7800_04_7800_1 // 0.500488 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_7a00_04_7a00_1 // 0.500488 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_7801_04_7801_1 // 0.500488 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 3801_3c00_7bff_04_7bff_1 // 0.500488 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_0400_04_3bff_1 // 0.999512 + 0.000061 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_0600_04_3bff_1 // 0.999512 + 0.000092 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_0401_04_3bff_1 // 0.999512 + 0.000061 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_07ff_04_3bff_1 // 0.999512 + 0.000122 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_3800_04_3dff_1 // 0.999512 + 0.500000 = 1.499023 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_3a00_04_3eff_1 // 0.999512 + 0.750000 = 1.749023 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_3801_04_3e00_0 // 0.999512 + 0.500488 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 0
3bff_3c00_3bff_04_3fff_0 // 0.999512 + 0.999512 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 0
3bff_3c00_3c00_04_3fff_1 // 0.999512 + 1.000000 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_3e00_04_40ff_1 // 0.999512 + 1.500000 = 2.498047 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_3c01_04_4000_1 // 0.999512 + 1.000977 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_3fff_04_41ff_1 // 0.999512 + 1.999023 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_4000_04_41ff_1 // 0.999512 + 2.000000 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_4200_04_43ff_1 // 0.999512 + 3.000000 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_4001_04_4200_1 // 0.999512 + 2.001953 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_43ff_04_44ff_1 // 0.999512 + 3.998047 = 4.996094 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_5000_04_501f_1 // 0.999512 + 32.000000 = 32.968750 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_5200_04_521f_1 // 0.999512 + 48.000000 = 48.968750 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_5001_04_5020_1 // 0.999512 + 32.031250 = 33.000000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_53ff_04_540f_1 // 0.999512 + 63.968750 = 64.937500 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_7800_04_7800_1 // 0.999512 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_7a00_04_7a00_1 // 0.999512 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_7801_04_7801_1 // 0.999512 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 3bff_3c00_7bff_04_7bff_1 // 0.999512 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_0400_04_3c00_1 // 1.000000 + 0.000061 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_0600_04_3c00_1 // 1.000000 + 0.000092 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_0401_04_3c00_1 // 1.000000 + 0.000061 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_07ff_04_3c00_1 // 1.000000 + 0.000122 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_3800_04_3e00_0 // 1.000000 + 0.500000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_3a00_04_3f00_0 // 1.000000 + 0.750000 = 1.750000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_3801_04_3e00_1 // 1.000000 + 0.500488 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_3bff_04_3fff_1 // 1.000000 + 0.999512 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_3c00_04_4000_0 // 1.000000 + 1.000000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_3e00_04_4100_0 // 1.000000 + 1.500000 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_3c01_04_4000_1 // 1.000000 + 1.000977 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_3fff_04_41ff_1 // 1.000000 + 1.999023 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_4000_04_4200_0 // 1.000000 + 2.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_4200_04_4400_0 // 1.000000 + 3.000000 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_4001_04_4201_0 // 1.000000 + 2.001953 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_43ff_04_44ff_1 // 1.000000 + 3.998047 = 4.996094 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_5000_04_5020_0 // 1.000000 + 32.000000 = 33.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_5200_04_5220_0 // 1.000000 + 48.000000 = 49.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_5001_04_5021_0 // 1.000000 + 32.031250 = 33.031250 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_53ff_04_540f_1 // 1.000000 + 63.968750 = 64.937500 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_7800_04_7800_1 // 1.000000 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_7a00_04_7a00_1 // 1.000000 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c00_3c00_7801_04_7801_1 // 1.000000 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 3c00_3c00_7bff_04_7bff_1 // 1.000000 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_0400_04_3e00_1 // 1.500000 + 0.000061 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_0600_04_3e00_1 // 1.500000 + 0.000092 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_0401_04_3e00_1 // 1.500000 + 0.000061 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_07ff_04_3e00_1 // 1.500000 + 0.000122 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_3800_04_4000_0 // 1.500000 + 0.500000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_3a00_04_4080_0 // 1.500000 + 0.750000 = 2.250000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_3801_04_4000_1 // 1.500000 + 0.500488 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_3bff_04_40ff_1 // 1.500000 + 0.999512 = 2.498047 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_3c00_04_4100_0 // 1.500000 + 1.000000 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_3e00_04_4200_0 // 1.500000 + 1.500000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_3c01_04_4100_1 // 1.500000 + 1.000977 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_3fff_04_42ff_1 // 1.500000 + 1.999023 = 3.498047 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_4000_04_4300_0 // 1.500000 + 2.000000 = 3.500000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_4200_04_4480_0 // 1.500000 + 3.000000 = 4.500000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_4001_04_4301_0 // 1.500000 + 2.001953 = 3.501953 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_43ff_04_457f_1 // 1.500000 + 3.998047 = 5.496094 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_5000_04_5030_0 // 1.500000 + 32.000000 = 33.500000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_5200_04_5230_0 // 1.500000 + 48.000000 = 49.500000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_5001_04_5031_0 // 1.500000 + 32.031250 = 33.531250 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_53ff_04_5417_1 // 1.500000 + 63.968750 = 65.437500 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_7800_04_7800_1 // 1.500000 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_7a00_04_7a00_1 // 1.500000 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_7801_04_7801_1 // 1.500000 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 3e00_3c00_7bff_04_7bff_1 // 1.500000 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_0400_04_3c01_1 // 1.000977 + 0.000061 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_0600_04_3c01_1 // 1.000977 + 0.000092 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_0401_04_3c01_1 // 1.000977 + 0.000061 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_07ff_04_3c01_1 // 1.000977 + 0.000122 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_3800_04_3e01_0 // 1.000977 + 0.500000 = 1.500977 NV: 0 OF: 0 UF: 0 NX: 0
3c01_3c00_3a00_04_3f01_0 // 1.000977 + 0.750000 = 1.750977 NV: 0 OF: 0 UF: 0 NX: 0
3c01_3c00_3801_04_3e01_1 // 1.000977 + 0.500488 = 1.500977 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_3bff_04_4000_1 // 1.000977 + 0.999512 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_3c00_04_4000_1 // 1.000977 + 1.000000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_3e00_04_4100_1 // 1.000977 + 1.500000 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_3c01_04_4001_0 // 1.000977 + 1.000977 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 0
3c01_3c00_3fff_04_4200_0 // 1.000977 + 1.999023 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c01_3c00_4000_04_4200_1 // 1.000977 + 2.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_4200_04_4400_1 // 1.000977 + 3.000000 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_4001_04_4201_1 // 1.000977 + 2.001953 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_43ff_04_44ff_1 // 1.000977 + 3.998047 = 4.996094 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_5000_04_5020_1 // 1.000977 + 32.000000 = 33.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_5200_04_5220_1 // 1.000977 + 48.000000 = 49.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_5001_04_5021_1 // 1.000977 + 32.031250 = 33.031250 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_53ff_04_540f_1 // 1.000977 + 63.968750 = 64.937500 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_7800_04_7800_1 // 1.000977 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_7a00_04_7a00_1 // 1.000977 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_7801_04_7801_1 // 1.000977 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 3c01_3c00_7bff_04_7bff_1 // 1.000977 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_0400_04_3fff_1 // 1.999023 + 0.000061 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_0600_04_3fff_1 // 1.999023 + 0.000092 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_0401_04_3fff_1 // 1.999023 + 0.000061 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_07ff_04_3fff_1 // 1.999023 + 0.000122 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_3800_04_40ff_1 // 1.999023 + 0.500000 = 2.498047 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_3a00_04_417f_1 // 1.999023 + 0.750000 = 2.748047 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_3801_04_40ff_1 // 1.999023 + 0.500488 = 2.498047 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_3bff_04_41ff_1 // 1.999023 + 0.999512 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_3c00_04_41ff_1 // 1.999023 + 1.000000 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_3e00_04_42ff_1 // 1.999023 + 1.500000 = 3.498047 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_3c01_04_4200_0 // 1.999023 + 1.000977 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
3fff_3c00_3fff_04_43ff_0 // 1.999023 + 1.999023 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 0
3fff_3c00_4000_04_43ff_1 // 1.999023 + 2.000000 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_4200_04_44ff_1 // 1.999023 + 3.000000 = 4.996094 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_4001_04_4400_1 // 1.999023 + 2.001953 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_43ff_04_45ff_1 // 1.999023 + 3.998047 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_5000_04_503f_1 // 1.999023 + 32.000000 = 33.968750 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_5200_04_523f_1 // 1.999023 + 48.000000 = 49.968750 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_5001_04_5040_1 // 1.999023 + 32.031250 = 34.000000 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_53ff_04_541f_1 // 1.999023 + 63.968750 = 65.937500 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_7800_04_7800_1 // 1.999023 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_7a00_04_7a00_1 // 1.999023 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_7801_04_7801_1 // 1.999023 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 3fff_3c00_7bff_04_7bff_1 // 1.999023 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_0400_04_4000_1 // 2.000000 + 0.000061 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_0600_04_4000_1 // 2.000000 + 0.000092 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_0401_04_4000_1 // 2.000000 + 0.000061 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_07ff_04_4000_1 // 2.000000 + 0.000122 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_3800_04_4100_0 // 2.000000 + 0.500000 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3c00_3a00_04_4180_0 // 2.000000 + 0.750000 = 2.750000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3c00_3801_04_4100_1 // 2.000000 + 0.500488 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_3bff_04_41ff_1 // 2.000000 + 0.999512 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_3c00_04_4200_0 // 2.000000 + 1.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3c00_3e00_04_4300_0 // 2.000000 + 1.500000 = 3.500000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3c00_3c01_04_4200_1 // 2.000000 + 1.000977 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_3fff_04_43ff_1 // 2.000000 + 1.999023 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_4000_04_4400_0 // 2.000000 + 2.000000 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3c00_4200_04_4500_0 // 2.000000 + 3.000000 = 5.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3c00_4001_04_4400_1 // 2.000000 + 2.001953 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_43ff_04_45ff_1 // 2.000000 + 3.998047 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_5000_04_5040_0 // 2.000000 + 32.000000 = 34.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3c00_5200_04_5240_0 // 2.000000 + 48.000000 = 50.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3c00_5001_04_5041_0 // 2.000000 + 32.031250 = 34.031250 NV: 0 OF: 0 UF: 0 NX: 0
4000_3c00_53ff_04_541f_1 // 2.000000 + 63.968750 = 65.937500 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_7800_04_7800_1 // 2.000000 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_7a00_04_7a00_1 // 2.000000 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
4000_3c00_7801_04_7801_1 // 2.000000 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 4000_3c00_7bff_04_7bff_1 // 2.000000 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_0400_04_4200_1 // 3.000000 + 0.000061 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_0600_04_4200_1 // 3.000000 + 0.000092 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_0401_04_4200_1 // 3.000000 + 0.000061 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_07ff_04_4200_1 // 3.000000 + 0.000122 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_3800_04_4300_0 // 3.000000 + 0.500000 = 3.500000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3c00_3a00_04_4380_0 // 3.000000 + 0.750000 = 3.750000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3c00_3801_04_4300_1 // 3.000000 + 0.500488 = 3.500000 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_3bff_04_43ff_1 // 3.000000 + 0.999512 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_3c00_04_4400_0 // 3.000000 + 1.000000 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3c00_3e00_04_4480_0 // 3.000000 + 1.500000 = 4.500000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3c00_3c01_04_4400_1 // 3.000000 + 1.000977 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_3fff_04_44ff_1 // 3.000000 + 1.999023 = 4.996094 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_4000_04_4500_0 // 3.000000 + 2.000000 = 5.000000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3c00_4200_04_4600_0 // 3.000000 + 3.000000 = 6.000000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3c00_4001_04_4500_1 // 3.000000 + 2.001953 = 5.000000 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_43ff_04_46ff_1 // 3.000000 + 3.998047 = 6.996094 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_5000_04_5060_0 // 3.000000 + 32.000000 = 35.000000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3c00_5200_04_5260_0 // 3.000000 + 48.000000 = 51.000000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3c00_5001_04_5061_0 // 3.000000 + 32.031250 = 35.031250 NV: 0 OF: 0 UF: 0 NX: 0
4200_3c00_53ff_04_542f_1 // 3.000000 + 63.968750 = 66.937500 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_7800_04_7800_1 // 3.000000 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_7a00_04_7a00_1 // 3.000000 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_7801_04_7801_1 // 3.000000 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 4200_3c00_7bff_04_7bff_1 // 3.000000 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_0400_04_4001_1 // 2.001953 + 0.000061 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_0600_04_4001_1 // 2.001953 + 0.000092 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_0401_04_4001_1 // 2.001953 + 0.000061 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_07ff_04_4001_1 // 2.001953 + 0.000122 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_3800_04_4101_0 // 2.001953 + 0.500000 = 2.501953 NV: 0 OF: 0 UF: 0 NX: 0
4001_3c00_3a00_04_4181_0 // 2.001953 + 0.750000 = 2.751953 NV: 0 OF: 0 UF: 0 NX: 0
4001_3c00_3801_04_4101_1 // 2.001953 + 0.500488 = 2.501953 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_3bff_04_4200_1 // 2.001953 + 0.999512 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_3c00_04_4201_0 // 2.001953 + 1.000000 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 0
4001_3c00_3e00_04_4301_0 // 2.001953 + 1.500000 = 3.501953 NV: 0 OF: 0 UF: 0 NX: 0
4001_3c00_3c01_04_4201_1 // 2.001953 + 1.000977 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_3fff_04_4400_1 // 2.001953 + 1.999023 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_4000_04_4400_1 // 2.001953 + 2.000000 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_4200_04_4500_1 // 2.001953 + 3.000000 = 5.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_4001_04_4401_0 // 2.001953 + 2.001953 = 4.003906 NV: 0 OF: 0 UF: 0 NX: 0
4001_3c00_43ff_04_4600_0 // 2.001953 + 3.998047 = 6.000000 NV: 0 OF: 0 UF: 0 NX: 0
4001_3c00_5000_04_5040_1 // 2.001953 + 32.000000 = 34.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_5200_04_5240_1 // 2.001953 + 48.000000 = 50.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_5001_04_5041_1 // 2.001953 + 32.031250 = 34.031250 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_53ff_04_541f_1 // 2.001953 + 63.968750 = 65.937500 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_7800_04_7800_1 // 2.001953 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_7a00_04_7a00_1 // 2.001953 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_7801_04_7801_1 // 2.001953 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 4001_3c00_7bff_04_7bff_1 // 2.001953 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_0400_04_43ff_1 // 3.998047 + 0.000061 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_0600_04_43ff_1 // 3.998047 + 0.000092 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_0401_04_43ff_1 // 3.998047 + 0.000061 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_07ff_04_43ff_1 // 3.998047 + 0.000122 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_3800_04_447f_1 // 3.998047 + 0.500000 = 4.496094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_3a00_04_44bf_1 // 3.998047 + 0.750000 = 4.746094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_3801_04_447f_1 // 3.998047 + 0.500488 = 4.496094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_3bff_04_44ff_1 // 3.998047 + 0.999512 = 4.996094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_3c00_04_44ff_1 // 3.998047 + 1.000000 = 4.996094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_3e00_04_457f_1 // 3.998047 + 1.500000 = 5.496094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_3c01_04_44ff_1 // 3.998047 + 1.000977 = 4.996094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_3fff_04_45ff_1 // 3.998047 + 1.999023 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_4000_04_45ff_1 // 3.998047 + 2.000000 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_4200_04_46ff_1 // 3.998047 + 3.000000 = 6.996094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_4001_04_4600_0 // 3.998047 + 2.001953 = 6.000000 NV: 0 OF: 0 UF: 0 NX: 0
43ff_3c00_43ff_04_47ff_0 // 3.998047 + 3.998047 = 7.996094 NV: 0 OF: 0 UF: 0 NX: 0
43ff_3c00_5000_04_507f_1 // 3.998047 + 32.000000 = 35.968750 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_5200_04_527f_1 // 3.998047 + 48.000000 = 51.968750 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_5001_04_5080_1 // 3.998047 + 32.031250 = 36.000000 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_53ff_04_543f_1 // 3.998047 + 63.968750 = 67.937500 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_7800_04_7800_1 // 3.998047 + 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_7a00_04_7a00_1 // 3.998047 + 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_7801_04_7801_1 // 3.998047 + 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 43ff_3c00_7bff_04_7bff_1 // 3.998047 + 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_0400_04_5000_1 // 32.000000 + 0.000061 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_0600_04_5000_1 // 32.000000 + 0.000092 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_0401_04_5000_1 // 32.000000 + 0.000061 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_07ff_04_5000_1 // 32.000000 + 0.000122 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_3800_04_5010_0 // 32.000000 + 0.500000 = 32.500000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c00_3a00_04_5018_0 // 32.000000 + 0.750000 = 32.750000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c00_3801_04_5010_1 // 32.000000 + 0.500488 = 32.500000 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_3bff_04_501f_1 // 32.000000 + 0.999512 = 32.968750 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_3c00_04_5020_0 // 32.000000 + 1.000000 = 33.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c00_3e00_04_5030_0 // 32.000000 + 1.500000 = 33.500000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c00_3c01_04_5020_1 // 32.000000 + 1.000977 = 33.000000 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_3fff_04_503f_1 // 32.000000 + 1.999023 = 33.968750 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_4000_04_5040_0 // 32.000000 + 2.000000 = 34.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c00_4200_04_5060_0 // 32.000000 + 3.000000 = 35.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c00_4001_04_5040_1 // 32.000000 + 2.001953 = 34.000000 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_43ff_04_507f_1 // 32.000000 + 3.998047 = 35.968750 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_5000_04_5400_0 // 32.000000 + 32.000000 = 64.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c00_5200_04_5500_0 // 32.000000 + 48.000000 = 80.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c00_5001_04_5400_1 // 32.000000 + 32.031250 = 64.000000 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_53ff_04_55ff_1 // 32.000000 + 63.968750 = 95.937500 NV: 0 OF: 0 UF: 0 NX: 1
5000_3c00_7800_04_7801_0 // 32.000000 + 32768.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c00_7a00_04_7a01_0 // 32.000000 + 49152.000000 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c00_7801_04_7802_0 // 32.000000 + 32800.000000 = 32832.000000 NV: 0 OF: 0 UF: 0 NX: 0
// Skip inf: 5000_3c00_7bff_04_7bff_5 // 32.000000 + 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
5200_3c00_0400_04_5200_1 // 48.000000 + 0.000061 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_0600_04_5200_1 // 48.000000 + 0.000092 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_0401_04_5200_1 // 48.000000 + 0.000061 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_07ff_04_5200_1 // 48.000000 + 0.000122 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_3800_04_5210_0 // 48.000000 + 0.500000 = 48.500000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3c00_3a00_04_5218_0 // 48.000000 + 0.750000 = 48.750000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3c00_3801_04_5210_1 // 48.000000 + 0.500488 = 48.500000 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_3bff_04_521f_1 // 48.000000 + 0.999512 = 48.968750 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_3c00_04_5220_0 // 48.000000 + 1.000000 = 49.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3c00_3e00_04_5230_0 // 48.000000 + 1.500000 = 49.500000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3c00_3c01_04_5220_1 // 48.000000 + 1.000977 = 49.000000 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_3fff_04_523f_1 // 48.000000 + 1.999023 = 49.968750 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_4000_04_5240_0 // 48.000000 + 2.000000 = 50.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3c00_4200_04_5260_0 // 48.000000 + 3.000000 = 51.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3c00_4001_04_5240_1 // 48.000000 + 2.001953 = 50.000000 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_43ff_04_527f_1 // 48.000000 + 3.998047 = 51.968750 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_5000_04_5500_0 // 48.000000 + 32.000000 = 80.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3c00_5200_04_5600_0 // 48.000000 + 48.000000 = 96.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3c00_5001_04_5500_1 // 48.000000 + 32.031250 = 80.000000 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_53ff_04_56ff_1 // 48.000000 + 63.968750 = 111.937500 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_7800_04_7801_1 // 48.000000 + 32768.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_7a00_04_7a01_1 // 48.000000 + 49152.000000 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_7801_04_7802_1 // 48.000000 + 32800.000000 = 32832.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 5200_3c00_7bff_04_7bff_5 // 48.000000 + 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
5001_3c00_0400_04_5001_1 // 32.031250 + 0.000061 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_0600_04_5001_1 // 32.031250 + 0.000092 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_0401_04_5001_1 // 32.031250 + 0.000061 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_07ff_04_5001_1 // 32.031250 + 0.000122 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_3800_04_5011_0 // 32.031250 + 0.500000 = 32.531250 NV: 0 OF: 0 UF: 0 NX: 0
5001_3c00_3a00_04_5019_0 // 32.031250 + 0.750000 = 32.781250 NV: 0 OF: 0 UF: 0 NX: 0
5001_3c00_3801_04_5011_1 // 32.031250 + 0.500488 = 32.531250 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_3bff_04_5020_1 // 32.031250 + 0.999512 = 33.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_3c00_04_5021_0 // 32.031250 + 1.000000 = 33.031250 NV: 0 OF: 0 UF: 0 NX: 0
5001_3c00_3e00_04_5031_0 // 32.031250 + 1.500000 = 33.531250 NV: 0 OF: 0 UF: 0 NX: 0
5001_3c00_3c01_04_5021_1 // 32.031250 + 1.000977 = 33.031250 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_3fff_04_5040_1 // 32.031250 + 1.999023 = 34.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_4000_04_5041_0 // 32.031250 + 2.000000 = 34.031250 NV: 0 OF: 0 UF: 0 NX: 0
5001_3c00_4200_04_5061_0 // 32.031250 + 3.000000 = 35.031250 NV: 0 OF: 0 UF: 0 NX: 0
5001_3c00_4001_04_5041_1 // 32.031250 + 2.001953 = 34.031250 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_43ff_04_5080_1 // 32.031250 + 3.998047 = 36.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_5000_04_5400_1 // 32.031250 + 32.000000 = 64.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_5200_04_5500_1 // 32.031250 + 48.000000 = 80.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_5001_04_5401_0 // 32.031250 + 32.031250 = 64.062500 NV: 0 OF: 0 UF: 0 NX: 0
5001_3c00_53ff_04_5600_0 // 32.031250 + 63.968750 = 96.000000 NV: 0 OF: 0 UF: 0 NX: 0
5001_3c00_7800_04_7801_1 // 32.031250 + 32768.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_7a00_04_7a01_1 // 32.031250 + 49152.000000 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_7801_04_7802_1 // 32.031250 + 32800.000000 = 32832.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 5001_3c00_7bff_04_7bff_5 // 32.031250 + 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
53ff_3c00_0400_04_53ff_1 // 63.968750 + 0.000061 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_0600_04_53ff_1 // 63.968750 + 0.000092 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_0401_04_53ff_1 // 63.968750 + 0.000061 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_07ff_04_53ff_1 // 63.968750 + 0.000122 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_3800_04_5407_1 // 63.968750 + 0.500000 = 64.437500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_3a00_04_540b_1 // 63.968750 + 0.750000 = 64.687500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_3801_04_5407_1 // 63.968750 + 0.500488 = 64.437500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_3bff_04_540f_1 // 63.968750 + 0.999512 = 64.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_3c00_04_540f_1 // 63.968750 + 1.000000 = 64.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_3e00_04_5417_1 // 63.968750 + 1.500000 = 65.437500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_3c01_04_540f_1 // 63.968750 + 1.000977 = 64.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_3fff_04_541f_1 // 63.968750 + 1.999023 = 65.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_4000_04_541f_1 // 63.968750 + 2.000000 = 65.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_4200_04_542f_1 // 63.968750 + 3.000000 = 66.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_4001_04_541f_1 // 63.968750 + 2.001953 = 65.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_43ff_04_543f_1 // 63.968750 + 3.998047 = 67.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_5000_04_55ff_1 // 63.968750 + 32.000000 = 95.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_5200_04_56ff_1 // 63.968750 + 48.000000 = 111.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_5001_04_5600_0 // 63.968750 + 32.031250 = 96.000000 NV: 0 OF: 0 UF: 0 NX: 0
53ff_3c00_53ff_04_57ff_0 // 63.968750 + 63.968750 = 127.937500 NV: 0 OF: 0 UF: 0 NX: 0
53ff_3c00_7800_04_7801_1 // 63.968750 + 32768.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_7a00_04_7a01_1 // 63.968750 + 49152.000000 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_7801_04_7802_1 // 63.968750 + 32800.000000 = 32832.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 53ff_3c00_7bff_04_7bff_5 // 63.968750 + 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
7800_3c00_0400_04_7800_1 // 32768.000000 + 0.000061 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_0600_04_7800_1 // 32768.000000 + 0.000092 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_0401_04_7800_1 // 32768.000000 + 0.000061 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_07ff_04_7800_1 // 32768.000000 + 0.000122 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_3800_04_7800_1 // 32768.000000 + 0.500000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_3a00_04_7800_1 // 32768.000000 + 0.750000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_3801_04_7800_1 // 32768.000000 + 0.500488 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_3bff_04_7800_1 // 32768.000000 + 0.999512 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_3c00_04_7800_1 // 32768.000000 + 1.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_3e00_04_7800_1 // 32768.000000 + 1.500000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_3c01_04_7800_1 // 32768.000000 + 1.000977 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_3fff_04_7800_1 // 32768.000000 + 1.999023 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_4000_04_7800_1 // 32768.000000 + 2.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_4200_04_7800_1 // 32768.000000 + 3.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_4001_04_7800_1 // 32768.000000 + 2.001953 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_43ff_04_7800_1 // 32768.000000 + 3.998047 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_5000_04_7801_0 // 32768.000000 + 32.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 0
7800_3c00_5200_04_7801_1 // 32768.000000 + 48.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_5001_04_7801_1 // 32768.000000 + 32.031250 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7800_3c00_53ff_04_7801_1 // 32768.000000 + 63.968750 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7800_3c00_7800_04_7bff_5 // 32768.000000 + 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_3c00_7a00_04_7bff_5 // 32768.000000 + 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_3c00_7801_04_7bff_5 // 32768.000000 + 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_3c00_7bff_04_7bff_5 // 32768.000000 + 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
7a00_3c00_0400_04_7a00_1 // 49152.000000 + 0.000061 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_0600_04_7a00_1 // 49152.000000 + 0.000092 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_0401_04_7a00_1 // 49152.000000 + 0.000061 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_07ff_04_7a00_1 // 49152.000000 + 0.000122 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_3800_04_7a00_1 // 49152.000000 + 0.500000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_3a00_04_7a00_1 // 49152.000000 + 0.750000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_3801_04_7a00_1 // 49152.000000 + 0.500488 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_3bff_04_7a00_1 // 49152.000000 + 0.999512 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_3c00_04_7a00_1 // 49152.000000 + 1.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_3e00_04_7a00_1 // 49152.000000 + 1.500000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_3c01_04_7a00_1 // 49152.000000 + 1.000977 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_3fff_04_7a00_1 // 49152.000000 + 1.999023 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_4000_04_7a00_1 // 49152.000000 + 2.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_4200_04_7a00_1 // 49152.000000 + 3.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_4001_04_7a00_1 // 49152.000000 + 2.001953 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_43ff_04_7a00_1 // 49152.000000 + 3.998047 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_5000_04_7a01_0 // 49152.000000 + 32.000000 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 0
7a00_3c00_5200_04_7a01_1 // 49152.000000 + 48.000000 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_5001_04_7a01_1 // 49152.000000 + 32.031250 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_53ff_04_7a01_1 // 49152.000000 + 63.968750 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7a00_3c00_7800_04_7bff_5 // 49152.000000 + 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_3c00_7a00_04_7bff_5 // 49152.000000 + 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_3c00_7801_04_7bff_5 // 49152.000000 + 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_3c00_7bff_04_7bff_5 // 49152.000000 + 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
7801_3c00_0400_04_7801_1 // 32800.000000 + 0.000061 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_0600_04_7801_1 // 32800.000000 + 0.000092 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_0401_04_7801_1 // 32800.000000 + 0.000061 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_07ff_04_7801_1 // 32800.000000 + 0.000122 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_3800_04_7801_1 // 32800.000000 + 0.500000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_3a00_04_7801_1 // 32800.000000 + 0.750000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_3801_04_7801_1 // 32800.000000 + 0.500488 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_3bff_04_7801_1 // 32800.000000 + 0.999512 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_3c00_04_7801_1 // 32800.000000 + 1.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_3e00_04_7801_1 // 32800.000000 + 1.500000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_3c01_04_7801_1 // 32800.000000 + 1.000977 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_3fff_04_7801_1 // 32800.000000 + 1.999023 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_4000_04_7801_1 // 32800.000000 + 2.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_4200_04_7801_1 // 32800.000000 + 3.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_4001_04_7801_1 // 32800.000000 + 2.001953 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_43ff_04_7801_1 // 32800.000000 + 3.998047 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_5000_04_7802_0 // 32800.000000 + 32.000000 = 32832.000000 NV: 0 OF: 0 UF: 0 NX: 0
7801_3c00_5200_04_7802_1 // 32800.000000 + 48.000000 = 32832.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_5001_04_7802_1 // 32800.000000 + 32.031250 = 32832.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_53ff_04_7802_1 // 32800.000000 + 63.968750 = 32832.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7801_3c00_7800_04_7bff_5 // 32800.000000 + 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_3c00_7a00_04_7bff_5 // 32800.000000 + 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_3c00_7801_04_7bff_5 // 32800.000000 + 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_3c00_7bff_04_7bff_5 // 32800.000000 + 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_3c00_0400_04_7bff_1 // 65504.000000 + 0.000061 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_0600_04_7bff_1 // 65504.000000 + 0.000092 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_0401_04_7bff_1 // 65504.000000 + 0.000061 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_07ff_04_7bff_1 // 65504.000000 + 0.000122 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_3800_04_7bff_1 // 65504.000000 + 0.500000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_3a00_04_7bff_1 // 65504.000000 + 0.750000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_3801_04_7bff_1 // 65504.000000 + 0.500488 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_3bff_04_7bff_1 // 65504.000000 + 0.999512 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_3c00_04_7bff_1 // 65504.000000 + 1.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_3e00_04_7bff_1 // 65504.000000 + 1.500000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_3c01_04_7bff_1 // 65504.000000 + 1.000977 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_3fff_04_7bff_1 // 65504.000000 + 1.999023 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_4000_04_7bff_1 // 65504.000000 + 2.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_4200_04_7bff_1 // 65504.000000 + 3.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_4001_04_7bff_1 // 65504.000000 + 2.001953 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_43ff_04_7bff_1 // 65504.000000 + 3.998047 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_5000_04_7bff_5 // 65504.000000 + 32.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_3c00_5200_04_7bff_5 // 65504.000000 + 48.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_3c00_5001_04_7bff_5 // 65504.000000 + 32.031250 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_3c00_53ff_04_7bff_5 // 65504.000000 + 63.968750 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_3c00_7800_04_7bff_5 // 65504.000000 + 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_3c00_7a00_04_7bff_5 // 65504.000000 + 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_3c00_7801_04_7bff_5 // 65504.000000 + 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_3c00_7bff_04_7bff_5 // 65504.000000 + 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,9 @@
// FMA with exponent of 0, significand of 1.0 and 1.1, RZ
3c00_3c00_3c00_0c_4000_0 // 1.000000 * 1.000000 + 1.000000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_3e00_0c_4100_0 // 1.000000 * 1.000000 + 1.500000 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3e00_3c00_0c_4100_0 // 1.000000 * 1.500000 + 1.000000 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3e00_3e00_0c_4200_0 // 1.000000 * 1.500000 + 1.500000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_3c00_0c_4100_0 // 1.500000 * 1.000000 + 1.000000 = 2.500000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c00_3e00_0c_4200_0 // 1.500000 * 1.000000 + 1.500000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3e00_3c00_0c_4280_0 // 1.500000 * 1.500000 + 1.000000 = 3.250000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3e00_3e00_0c_4380_0 // 1.500000 * 1.500000 + 1.500000 = 3.750000 NV: 0 OF: 0 UF: 0 NX: 0

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,577 @@
// Multiply with various exponents and unsigned fractions, RZ
// skip zero: 0400_0400_0000_08_0000_3 // 0.000061 * 0.000061 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 0400_0600_0000_08_0000_3 // 0.000061 * 0.000092 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 0400_0401_0000_08_0000_3 // 0.000061 * 0.000061 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 0400_07ff_0000_08_0000_3 // 0.000061 * 0.000122 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip denorm: 0400_3800_0000_08_0200_0 // 0.000061 * 0.500000 = 0.000031 NV: 0 OF: 0 UF: 0 NX: 0
// skip denorm: 0400_3a00_0000_08_0300_0 // 0.000061 * 0.750000 = 0.000046 NV: 0 OF: 0 UF: 0 NX: 0
// skip denorm: 0400_3801_0000_08_0200_3 // 0.000061 * 0.500488 = 0.000031 NV: 0 OF: 0 UF: 1 NX: 1
// skip denorm: 0400_3bff_0000_08_03ff_3 // 0.000061 * 0.999512 = 0.000061 NV: 0 OF: 0 UF: 1 NX: 1
0400_3c00_0000_08_0400_0 // 0.000061 * 1.000000 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 0
0400_3e00_0000_08_0600_0 // 0.000061 * 1.500000 = 0.000092 NV: 0 OF: 0 UF: 0 NX: 0
0400_3c01_0000_08_0401_0 // 0.000061 * 1.000977 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 0
0400_3fff_0000_08_07ff_0 // 0.000061 * 1.999023 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
0400_4000_0000_08_0800_0 // 0.000061 * 2.000000 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
0400_4200_0000_08_0a00_0 // 0.000061 * 3.000000 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 0
0400_4001_0000_08_0801_0 // 0.000061 * 2.001953 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
0400_43ff_0000_08_0bff_0 // 0.000061 * 3.998047 = 0.000244 NV: 0 OF: 0 UF: 0 NX: 0
0400_5000_0000_08_1800_0 // 0.000061 * 32.000000 = 0.001953 NV: 0 OF: 0 UF: 0 NX: 0
0400_5200_0000_08_1a00_0 // 0.000061 * 48.000000 = 0.002930 NV: 0 OF: 0 UF: 0 NX: 0
0400_5001_0000_08_1801_0 // 0.000061 * 32.031250 = 0.001955 NV: 0 OF: 0 UF: 0 NX: 0
0400_53ff_0000_08_1bff_0 // 0.000061 * 63.968750 = 0.003904 NV: 0 OF: 0 UF: 0 NX: 0
0400_7800_0000_08_4000_0 // 0.000061 * 32768.000000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 0
0400_7a00_0000_08_4200_0 // 0.000061 * 49152.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
0400_7801_0000_08_4001_0 // 0.000061 * 32800.000000 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 0
0400_7bff_0000_08_43ff_0 // 0.000061 * 65504.000000 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 0
// skip zero: 0600_0400_0000_08_0000_3 // 0.000092 * 0.000061 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 0600_0600_0000_08_0000_3 // 0.000092 * 0.000092 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 0600_0401_0000_08_0000_3 // 0.000092 * 0.000061 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 0600_07ff_0000_08_0000_3 // 0.000092 * 0.000122 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip denorm: 0600_3800_0000_08_0300_0 // 0.000092 * 0.500000 = 0.000046 NV: 0 OF: 0 UF: 0 NX: 0
0600_3a00_0000_08_0480_0 // 0.000092 * 0.750000 = 0.000069 NV: 0 OF: 0 UF: 0 NX: 0
// skip denorm: 0600_3801_0000_08_0300_3 // 0.000092 * 0.500488 = 0.000046 NV: 0 OF: 0 UF: 1 NX: 1
0600_3bff_0000_08_05ff_1 // 0.000092 * 0.999512 = 0.000091 NV: 0 OF: 0 UF: 0 NX: 1
0600_3c00_0000_08_0600_0 // 0.000092 * 1.000000 = 0.000092 NV: 0 OF: 0 UF: 0 NX: 0
0600_3e00_0000_08_0880_0 // 0.000092 * 1.500000 = 0.000137 NV: 0 OF: 0 UF: 0 NX: 0
0600_3c01_0000_08_0601_1 // 0.000092 * 1.000977 = 0.000092 NV: 0 OF: 0 UF: 0 NX: 1
0600_3fff_0000_08_09ff_1 // 0.000092 * 1.999023 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 1
0600_4000_0000_08_0a00_0 // 0.000092 * 2.000000 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 0
0600_4200_0000_08_0c80_0 // 0.000092 * 3.000000 = 0.000275 NV: 0 OF: 0 UF: 0 NX: 0
0600_4001_0000_08_0a01_1 // 0.000092 * 2.001953 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 1
0600_43ff_0000_08_0dff_1 // 0.000092 * 3.998047 = 0.000366 NV: 0 OF: 0 UF: 0 NX: 1
0600_5000_0000_08_1a00_0 // 0.000092 * 32.000000 = 0.002930 NV: 0 OF: 0 UF: 0 NX: 0
0600_5200_0000_08_1c80_0 // 0.000092 * 48.000000 = 0.004395 NV: 0 OF: 0 UF: 0 NX: 0
0600_5001_0000_08_1a01_1 // 0.000092 * 32.031250 = 0.002932 NV: 0 OF: 0 UF: 0 NX: 1
0600_53ff_0000_08_1dff_1 // 0.000092 * 63.968750 = 0.005856 NV: 0 OF: 0 UF: 0 NX: 1
0600_7800_0000_08_4200_0 // 0.000092 * 32768.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
0600_7a00_0000_08_4480_0 // 0.000092 * 49152.000000 = 4.500000 NV: 0 OF: 0 UF: 0 NX: 0
0600_7801_0000_08_4201_1 // 0.000092 * 32800.000000 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 1
0600_7bff_0000_08_45ff_1 // 0.000092 * 65504.000000 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
// skip zero: 0401_0400_0000_08_0000_3 // 0.000061 * 0.000061 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 0401_0600_0000_08_0000_3 // 0.000061 * 0.000092 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 0401_0401_0000_08_0000_3 // 0.000061 * 0.000061 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 0401_07ff_0000_08_0000_3 // 0.000061 * 0.000122 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip denorm: 0401_3800_0000_08_0200_3 // 0.000061 * 0.500000 = 0.000031 NV: 0 OF: 0 UF: 1 NX: 1
// skip denorm: 0401_3a00_0000_08_0300_3 // 0.000061 * 0.750000 = 0.000046 NV: 0 OF: 0 UF: 1 NX: 1
// skip denorm: 0401_3801_0000_08_0201_3 // 0.000061 * 0.500488 = 0.000031 NV: 0 OF: 0 UF: 1 NX: 1
0401_3bff_0000_08_0400_1 // 0.000061 * 0.999512 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c00_0000_08_0401_0 // 0.000061 * 1.000000 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 0
0401_3e00_0000_08_0601_1 // 0.000061 * 1.500000 = 0.000092 NV: 0 OF: 0 UF: 0 NX: 1
0401_3c01_0000_08_0402_1 // 0.000061 * 1.000977 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 1
0401_3fff_0000_08_0800_1 // 0.000061 * 1.999023 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 1
0401_4000_0000_08_0801_0 // 0.000061 * 2.000000 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
0401_4200_0000_08_0a01_1 // 0.000061 * 3.000000 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 1
0401_4001_0000_08_0802_1 // 0.000061 * 2.001953 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 1
0401_43ff_0000_08_0c00_1 // 0.000061 * 3.998047 = 0.000244 NV: 0 OF: 0 UF: 0 NX: 1
0401_5000_0000_08_1801_0 // 0.000061 * 32.000000 = 0.001955 NV: 0 OF: 0 UF: 0 NX: 0
0401_5200_0000_08_1a01_1 // 0.000061 * 48.000000 = 0.002932 NV: 0 OF: 0 UF: 0 NX: 1
0401_5001_0000_08_1802_1 // 0.000061 * 32.031250 = 0.001957 NV: 0 OF: 0 UF: 0 NX: 1
0401_53ff_0000_08_1c00_1 // 0.000061 * 63.968750 = 0.003906 NV: 0 OF: 0 UF: 0 NX: 1
0401_7800_0000_08_4001_0 // 0.000061 * 32768.000000 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 0
0401_7a00_0000_08_4201_1 // 0.000061 * 49152.000000 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 1
0401_7801_0000_08_4002_1 // 0.000061 * 32800.000000 = 2.003906 NV: 0 OF: 0 UF: 0 NX: 1
0401_7bff_0000_08_4400_1 // 0.000061 * 65504.000000 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
// skip zero: 07ff_0400_0000_08_0000_3 // 0.000122 * 0.000061 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 07ff_0600_0000_08_0000_3 // 0.000122 * 0.000092 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 07ff_0401_0000_08_0000_3 // 0.000122 * 0.000061 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip zero: 07ff_07ff_0000_08_0000_3 // 0.000122 * 0.000122 = 0.000000 NV: 0 OF: 0 UF: 1 NX: 1
// skip denorm: 07ff_3800_0000_08_03ff_3 // 0.000122 * 0.500000 = 0.000061 NV: 0 OF: 0 UF: 1 NX: 1
07ff_3a00_0000_08_05ff_1 // 0.000122 * 0.750000 = 0.000091 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3801_0000_08_0400_1 // 0.000122 * 0.500488 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3bff_0000_08_07fe_1 // 0.000122 * 0.999512 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c00_0000_08_07ff_0 // 0.000122 * 1.000000 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
07ff_3e00_0000_08_09ff_1 // 0.000122 * 1.500000 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3c01_0000_08_0800_1 // 0.000122 * 1.000977 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 1
07ff_3fff_0000_08_0bfe_1 // 0.000122 * 1.999023 = 0.000244 NV: 0 OF: 0 UF: 0 NX: 1
07ff_4000_0000_08_0bff_0 // 0.000122 * 2.000000 = 0.000244 NV: 0 OF: 0 UF: 0 NX: 0
07ff_4200_0000_08_0dff_1 // 0.000122 * 3.000000 = 0.000366 NV: 0 OF: 0 UF: 0 NX: 1
07ff_4001_0000_08_0c00_1 // 0.000122 * 2.001953 = 0.000244 NV: 0 OF: 0 UF: 0 NX: 1
07ff_43ff_0000_08_0ffe_1 // 0.000122 * 3.998047 = 0.000488 NV: 0 OF: 0 UF: 0 NX: 1
07ff_5000_0000_08_1bff_0 // 0.000122 * 32.000000 = 0.003904 NV: 0 OF: 0 UF: 0 NX: 0
07ff_5200_0000_08_1dff_1 // 0.000122 * 48.000000 = 0.005856 NV: 0 OF: 0 UF: 0 NX: 1
07ff_5001_0000_08_1c00_1 // 0.000122 * 32.031250 = 0.003906 NV: 0 OF: 0 UF: 0 NX: 1
07ff_53ff_0000_08_1ffe_1 // 0.000122 * 63.968750 = 0.007805 NV: 0 OF: 0 UF: 0 NX: 1
07ff_7800_0000_08_43ff_0 // 0.000122 * 32768.000000 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 0
07ff_7a00_0000_08_45ff_1 // 0.000122 * 49152.000000 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
07ff_7801_0000_08_4400_1 // 0.000122 * 32800.000000 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
07ff_7bff_0000_08_47fe_1 // 0.000122 * 65504.000000 = 7.992188 NV: 0 OF: 0 UF: 0 NX: 1
// skip denorm: 3800_0400_0000_08_0200_0 // 0.500000 * 0.000061 = 0.000031 NV: 0 OF: 0 UF: 0 NX: 0
// skip denorm: 3800_0600_0000_08_0300_0 // 0.500000 * 0.000092 = 0.000046 NV: 0 OF: 0 UF: 0 NX: 0
// skip denorm: 3800_0401_0000_08_0200_3 // 0.500000 * 0.000061 = 0.000031 NV: 0 OF: 0 UF: 1 NX: 1
// skip denorm: 3800_07ff_0000_08_03ff_3 // 0.500000 * 0.000122 = 0.000061 NV: 0 OF: 0 UF: 1 NX: 1
3800_3800_0000_08_3400_0 // 0.500000 * 0.500000 = 0.250000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3a00_0000_08_3600_0 // 0.500000 * 0.750000 = 0.375000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3801_0000_08_3401_0 // 0.500000 * 0.500488 = 0.250244 NV: 0 OF: 0 UF: 0 NX: 0
3800_3bff_0000_08_37ff_0 // 0.500000 * 0.999512 = 0.499756 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c00_0000_08_3800_0 // 0.500000 * 1.000000 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3e00_0000_08_3a00_0 // 0.500000 * 1.500000 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 0
3800_3c01_0000_08_3801_0 // 0.500000 * 1.000977 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 0
3800_3fff_0000_08_3bff_0 // 0.500000 * 1.999023 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 0
3800_4000_0000_08_3c00_0 // 0.500000 * 2.000000 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 0
3800_4200_0000_08_3e00_0 // 0.500000 * 3.000000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 0
3800_4001_0000_08_3c01_0 // 0.500000 * 2.001953 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 0
3800_43ff_0000_08_3fff_0 // 0.500000 * 3.998047 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 0
3800_5000_0000_08_4c00_0 // 0.500000 * 32.000000 = 16.000000 NV: 0 OF: 0 UF: 0 NX: 0
3800_5200_0000_08_4e00_0 // 0.500000 * 48.000000 = 24.000000 NV: 0 OF: 0 UF: 0 NX: 0
3800_5001_0000_08_4c01_0 // 0.500000 * 32.031250 = 16.015625 NV: 0 OF: 0 UF: 0 NX: 0
3800_53ff_0000_08_4fff_0 // 0.500000 * 63.968750 = 31.984375 NV: 0 OF: 0 UF: 0 NX: 0
3800_7800_0000_08_7400_0 // 0.500000 * 32768.000000 = 16384.000000 NV: 0 OF: 0 UF: 0 NX: 0
3800_7a00_0000_08_7600_0 // 0.500000 * 49152.000000 = 24576.000000 NV: 0 OF: 0 UF: 0 NX: 0
3800_7801_0000_08_7401_0 // 0.500000 * 32800.000000 = 16400.000000 NV: 0 OF: 0 UF: 0 NX: 0
3800_7bff_0000_08_77ff_0 // 0.500000 * 65504.000000 = 32752.000000 NV: 0 OF: 0 UF: 0 NX: 0
// skip denorm: 3a00_0400_0000_08_0300_0 // 0.750000 * 0.000061 = 0.000046 NV: 0 OF: 0 UF: 0 NX: 0
3a00_0600_0000_08_0480_0 // 0.750000 * 0.000092 = 0.000069 NV: 0 OF: 0 UF: 0 NX: 0
// skip denorm: 3a00_0401_0000_08_0300_3 // 0.750000 * 0.000061 = 0.000046 NV: 0 OF: 0 UF: 1 NX: 1
3a00_07ff_0000_08_05ff_1 // 0.750000 * 0.000122 = 0.000091 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3800_0000_08_3600_0 // 0.750000 * 0.500000 = 0.375000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3a00_0000_08_3880_0 // 0.750000 * 0.750000 = 0.562500 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3801_0000_08_3601_1 // 0.750000 * 0.500488 = 0.375244 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3bff_0000_08_39ff_1 // 0.750000 * 0.999512 = 0.749512 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3c00_0000_08_3a00_0 // 0.750000 * 1.000000 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3e00_0000_08_3c80_0 // 0.750000 * 1.500000 = 1.125000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_3c01_0000_08_3a01_1 // 0.750000 * 1.000977 = 0.750488 NV: 0 OF: 0 UF: 0 NX: 1
3a00_3fff_0000_08_3dff_1 // 0.750000 * 1.999023 = 1.499023 NV: 0 OF: 0 UF: 0 NX: 1
3a00_4000_0000_08_3e00_0 // 0.750000 * 2.000000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_4200_0000_08_4080_0 // 0.750000 * 3.000000 = 2.250000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_4001_0000_08_3e01_1 // 0.750000 * 2.001953 = 1.500977 NV: 0 OF: 0 UF: 0 NX: 1
3a00_43ff_0000_08_41ff_1 // 0.750000 * 3.998047 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
3a00_5000_0000_08_4e00_0 // 0.750000 * 32.000000 = 24.000000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_5200_0000_08_5080_0 // 0.750000 * 48.000000 = 36.000000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_5001_0000_08_4e01_1 // 0.750000 * 32.031250 = 24.015625 NV: 0 OF: 0 UF: 0 NX: 1
3a00_53ff_0000_08_51ff_1 // 0.750000 * 63.968750 = 47.968750 NV: 0 OF: 0 UF: 0 NX: 1
3a00_7800_0000_08_7600_0 // 0.750000 * 32768.000000 = 24576.000000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_7a00_0000_08_7880_0 // 0.750000 * 49152.000000 = 36864.000000 NV: 0 OF: 0 UF: 0 NX: 0
3a00_7801_0000_08_7601_1 // 0.750000 * 32800.000000 = 24592.000000 NV: 0 OF: 0 UF: 0 NX: 1
3a00_7bff_0000_08_79ff_1 // 0.750000 * 65504.000000 = 49120.000000 NV: 0 OF: 0 UF: 0 NX: 1
// skip denorm: 3801_0400_0000_08_0200_3 // 0.500488 * 0.000061 = 0.000031 NV: 0 OF: 0 UF: 1 NX: 1
// skip denorm: 3801_0600_0000_08_0300_3 // 0.500488 * 0.000092 = 0.000046 NV: 0 OF: 0 UF: 1 NX: 1
// skip denorm: 3801_0401_0000_08_0201_3 // 0.500488 * 0.000061 = 0.000031 NV: 0 OF: 0 UF: 1 NX: 1
3801_07ff_0000_08_0400_1 // 0.500488 * 0.000122 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 1
3801_3800_0000_08_3401_0 // 0.500488 * 0.500000 = 0.250244 NV: 0 OF: 0 UF: 0 NX: 0
3801_3a00_0000_08_3601_1 // 0.500488 * 0.750000 = 0.375244 NV: 0 OF: 0 UF: 0 NX: 1
3801_3801_0000_08_3402_1 // 0.500488 * 0.500488 = 0.250488 NV: 0 OF: 0 UF: 0 NX: 1
3801_3bff_0000_08_3800_1 // 0.500488 * 0.999512 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c00_0000_08_3801_0 // 0.500488 * 1.000000 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 0
3801_3e00_0000_08_3a01_1 // 0.500488 * 1.500000 = 0.750488 NV: 0 OF: 0 UF: 0 NX: 1
3801_3c01_0000_08_3802_1 // 0.500488 * 1.000977 = 0.500977 NV: 0 OF: 0 UF: 0 NX: 1
3801_3fff_0000_08_3c00_1 // 0.500488 * 1.999023 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
3801_4000_0000_08_3c01_0 // 0.500488 * 2.000000 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 0
3801_4200_0000_08_3e01_1 // 0.500488 * 3.000000 = 1.500977 NV: 0 OF: 0 UF: 0 NX: 1
3801_4001_0000_08_3c02_1 // 0.500488 * 2.001953 = 1.001953 NV: 0 OF: 0 UF: 0 NX: 1
3801_43ff_0000_08_4000_1 // 0.500488 * 3.998047 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
3801_5000_0000_08_4c01_0 // 0.500488 * 32.000000 = 16.015625 NV: 0 OF: 0 UF: 0 NX: 0
3801_5200_0000_08_4e01_1 // 0.500488 * 48.000000 = 24.015625 NV: 0 OF: 0 UF: 0 NX: 1
3801_5001_0000_08_4c02_1 // 0.500488 * 32.031250 = 16.031250 NV: 0 OF: 0 UF: 0 NX: 1
3801_53ff_0000_08_5000_1 // 0.500488 * 63.968750 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
3801_7800_0000_08_7401_0 // 0.500488 * 32768.000000 = 16400.000000 NV: 0 OF: 0 UF: 0 NX: 0
3801_7a00_0000_08_7601_1 // 0.500488 * 49152.000000 = 24592.000000 NV: 0 OF: 0 UF: 0 NX: 1
3801_7801_0000_08_7402_1 // 0.500488 * 32800.000000 = 16416.000000 NV: 0 OF: 0 UF: 0 NX: 1
3801_7bff_0000_08_7800_1 // 0.500488 * 65504.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
// skip denorm: 3bff_0400_0000_08_03ff_3 // 0.999512 * 0.000061 = 0.000061 NV: 0 OF: 0 UF: 1 NX: 1
3bff_0600_0000_08_05ff_1 // 0.999512 * 0.000092 = 0.000091 NV: 0 OF: 0 UF: 0 NX: 1
3bff_0401_0000_08_0400_1 // 0.999512 * 0.000061 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 1
3bff_07ff_0000_08_07fe_1 // 0.999512 * 0.000122 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3800_0000_08_37ff_0 // 0.999512 * 0.500000 = 0.499756 NV: 0 OF: 0 UF: 0 NX: 0
3bff_3a00_0000_08_39ff_1 // 0.999512 * 0.750000 = 0.749512 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3801_0000_08_3800_1 // 0.999512 * 0.500488 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3bff_0000_08_3bfe_1 // 0.999512 * 0.999512 = 0.999023 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c00_0000_08_3bff_0 // 0.999512 * 1.000000 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 0
3bff_3e00_0000_08_3dff_1 // 0.999512 * 1.500000 = 1.499023 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3c01_0000_08_3c00_1 // 0.999512 * 1.000977 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_3fff_0000_08_3ffe_1 // 0.999512 * 1.999023 = 1.998047 NV: 0 OF: 0 UF: 0 NX: 1
3bff_4000_0000_08_3fff_0 // 0.999512 * 2.000000 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 0
3bff_4200_0000_08_41ff_1 // 0.999512 * 3.000000 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
3bff_4001_0000_08_4000_1 // 0.999512 * 2.001953 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_43ff_0000_08_43fe_1 // 0.999512 * 3.998047 = 3.996094 NV: 0 OF: 0 UF: 0 NX: 1
3bff_5000_0000_08_4fff_0 // 0.999512 * 32.000000 = 31.984375 NV: 0 OF: 0 UF: 0 NX: 0
3bff_5200_0000_08_51ff_1 // 0.999512 * 48.000000 = 47.968750 NV: 0 OF: 0 UF: 0 NX: 1
3bff_5001_0000_08_5000_1 // 0.999512 * 32.031250 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_53ff_0000_08_53fe_1 // 0.999512 * 63.968750 = 63.937500 NV: 0 OF: 0 UF: 0 NX: 1
3bff_7800_0000_08_77ff_0 // 0.999512 * 32768.000000 = 32752.000000 NV: 0 OF: 0 UF: 0 NX: 0
3bff_7a00_0000_08_79ff_1 // 0.999512 * 49152.000000 = 49120.000000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_7801_0000_08_7800_1 // 0.999512 * 32800.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
3bff_7bff_0000_08_7bfe_1 // 0.999512 * 65504.000000 = 65472.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c00_0400_0000_08_0400_0 // 1.000000 * 0.000061 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 0
3c00_0600_0000_08_0600_0 // 1.000000 * 0.000092 = 0.000092 NV: 0 OF: 0 UF: 0 NX: 0
3c00_0401_0000_08_0401_0 // 1.000000 * 0.000061 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 0
3c00_07ff_0000_08_07ff_0 // 1.000000 * 0.000122 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3800_0000_08_3800_0 // 1.000000 * 0.500000 = 0.500000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3a00_0000_08_3a00_0 // 1.000000 * 0.750000 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3801_0000_08_3801_0 // 1.000000 * 0.500488 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3bff_0000_08_3bff_0 // 1.000000 * 0.999512 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c00_0000_08_3c00_0 // 1.000000 * 1.000000 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3e00_0000_08_3e00_0 // 1.000000 * 1.500000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3c01_0000_08_3c01_0 // 1.000000 * 1.000977 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 0
3c00_3fff_0000_08_3fff_0 // 1.000000 * 1.999023 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 0
3c00_4000_0000_08_4000_0 // 1.000000 * 2.000000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_4200_0000_08_4200_0 // 1.000000 * 3.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_4001_0000_08_4001_0 // 1.000000 * 2.001953 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 0
3c00_43ff_0000_08_43ff_0 // 1.000000 * 3.998047 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 0
3c00_5000_0000_08_5000_0 // 1.000000 * 32.000000 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_5200_0000_08_5200_0 // 1.000000 * 48.000000 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_5001_0000_08_5001_0 // 1.000000 * 32.031250 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 0
3c00_53ff_0000_08_53ff_0 // 1.000000 * 63.968750 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 0
3c00_7800_0000_08_7800_0 // 1.000000 * 32768.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_7a00_0000_08_7a00_0 // 1.000000 * 49152.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c00_7801_0000_08_7801_0 // 1.000000 * 32800.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 0
// Skip inf: 3c00_7bff_0000_08_7bff_0 // 1.000000 * 65504.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_0400_0000_08_0600_0 // 1.500000 * 0.000061 = 0.000092 NV: 0 OF: 0 UF: 0 NX: 0
3e00_0600_0000_08_0880_0 // 1.500000 * 0.000092 = 0.000137 NV: 0 OF: 0 UF: 0 NX: 0
3e00_0401_0000_08_0601_1 // 1.500000 * 0.000061 = 0.000092 NV: 0 OF: 0 UF: 0 NX: 1
3e00_07ff_0000_08_09ff_1 // 1.500000 * 0.000122 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3800_0000_08_3a00_0 // 1.500000 * 0.500000 = 0.750000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3a00_0000_08_3c80_0 // 1.500000 * 0.750000 = 1.125000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3801_0000_08_3a01_1 // 1.500000 * 0.500488 = 0.750488 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3bff_0000_08_3dff_1 // 1.500000 * 0.999512 = 1.499023 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3c00_0000_08_3e00_0 // 1.500000 * 1.000000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3e00_0000_08_4080_0 // 1.500000 * 1.500000 = 2.250000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_3c01_0000_08_3e01_1 // 1.500000 * 1.000977 = 1.500977 NV: 0 OF: 0 UF: 0 NX: 1
3e00_3fff_0000_08_41ff_1 // 1.500000 * 1.999023 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
3e00_4000_0000_08_4200_0 // 1.500000 * 2.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_4200_0000_08_4480_0 // 1.500000 * 3.000000 = 4.500000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_4001_0000_08_4201_1 // 1.500000 * 2.001953 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 1
3e00_43ff_0000_08_45ff_1 // 1.500000 * 3.998047 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
3e00_5000_0000_08_5200_0 // 1.500000 * 32.000000 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_5200_0000_08_5480_0 // 1.500000 * 48.000000 = 72.000000 NV: 0 OF: 0 UF: 0 NX: 0
3e00_5001_0000_08_5201_1 // 1.500000 * 32.031250 = 48.031250 NV: 0 OF: 0 UF: 0 NX: 1
3e00_53ff_0000_08_55ff_1 // 1.500000 * 63.968750 = 95.937500 NV: 0 OF: 0 UF: 0 NX: 1
3e00_7800_0000_08_7a00_0 // 1.500000 * 32768.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 0
// Skip inf: 3e00_7a00_0000_08_7bff_5 // 1.500000 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
3e00_7801_0000_08_7a01_1 // 1.500000 * 32800.000000 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 3e00_7bff_0000_08_7bff_5 // 1.500000 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
3c01_0400_0000_08_0401_0 // 1.000977 * 0.000061 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 0
3c01_0600_0000_08_0601_1 // 1.000977 * 0.000092 = 0.000092 NV: 0 OF: 0 UF: 0 NX: 1
3c01_0401_0000_08_0402_1 // 1.000977 * 0.000061 = 0.000061 NV: 0 OF: 0 UF: 0 NX: 1
3c01_07ff_0000_08_0800_1 // 1.000977 * 0.000122 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3800_0000_08_3801_0 // 1.000977 * 0.500000 = 0.500488 NV: 0 OF: 0 UF: 0 NX: 0
3c01_3a00_0000_08_3a01_1 // 1.000977 * 0.750000 = 0.750488 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3801_0000_08_3802_1 // 1.000977 * 0.500488 = 0.500977 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3bff_0000_08_3c00_1 // 1.000977 * 0.999512 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c00_0000_08_3c01_0 // 1.000977 * 1.000000 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 0
3c01_3e00_0000_08_3e01_1 // 1.000977 * 1.500000 = 1.500977 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3c01_0000_08_3c02_1 // 1.000977 * 1.000977 = 1.001953 NV: 0 OF: 0 UF: 0 NX: 1
3c01_3fff_0000_08_4000_1 // 1.000977 * 1.999023 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_4000_0000_08_4001_0 // 1.000977 * 2.000000 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 0
3c01_4200_0000_08_4201_1 // 1.000977 * 3.000000 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 1
3c01_4001_0000_08_4002_1 // 1.000977 * 2.001953 = 2.003906 NV: 0 OF: 0 UF: 0 NX: 1
3c01_43ff_0000_08_4400_1 // 1.000977 * 3.998047 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_5000_0000_08_5001_0 // 1.000977 * 32.000000 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 0
3c01_5200_0000_08_5201_1 // 1.000977 * 48.000000 = 48.031250 NV: 0 OF: 0 UF: 0 NX: 1
3c01_5001_0000_08_5002_1 // 1.000977 * 32.031250 = 32.062500 NV: 0 OF: 0 UF: 0 NX: 1
3c01_53ff_0000_08_5400_1 // 1.000977 * 63.968750 = 64.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_7800_0000_08_7801_0 // 1.000977 * 32768.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 0
3c01_7a00_0000_08_7a01_1 // 1.000977 * 49152.000000 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 1
3c01_7801_0000_08_7802_1 // 1.000977 * 32800.000000 = 32832.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 3c01_7bff_0000_08_7bff_5 // 1.000977 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
3fff_0400_0000_08_07ff_0 // 1.999023 * 0.000061 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
3fff_0600_0000_08_09ff_1 // 1.999023 * 0.000092 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 1
3fff_0401_0000_08_0800_1 // 1.999023 * 0.000061 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 1
3fff_07ff_0000_08_0bfe_1 // 1.999023 * 0.000122 = 0.000244 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3800_0000_08_3bff_0 // 1.999023 * 0.500000 = 0.999512 NV: 0 OF: 0 UF: 0 NX: 0
3fff_3a00_0000_08_3dff_1 // 1.999023 * 0.750000 = 1.499023 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3801_0000_08_3c00_1 // 1.999023 * 0.500488 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3bff_0000_08_3ffe_1 // 1.999023 * 0.999512 = 1.998047 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c00_0000_08_3fff_0 // 1.999023 * 1.000000 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 0
3fff_3e00_0000_08_41ff_1 // 1.999023 * 1.500000 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3c01_0000_08_4000_1 // 1.999023 * 1.000977 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
3fff_3fff_0000_08_43fe_1 // 1.999023 * 1.999023 = 3.996094 NV: 0 OF: 0 UF: 0 NX: 1
3fff_4000_0000_08_43ff_0 // 1.999023 * 2.000000 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 0
3fff_4200_0000_08_45ff_1 // 1.999023 * 3.000000 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
3fff_4001_0000_08_4400_1 // 1.999023 * 2.001953 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
3fff_43ff_0000_08_47fe_1 // 1.999023 * 3.998047 = 7.992188 NV: 0 OF: 0 UF: 0 NX: 1
3fff_5000_0000_08_53ff_0 // 1.999023 * 32.000000 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 0
3fff_5200_0000_08_55ff_1 // 1.999023 * 48.000000 = 95.937500 NV: 0 OF: 0 UF: 0 NX: 1
3fff_5001_0000_08_5400_1 // 1.999023 * 32.031250 = 64.000000 NV: 0 OF: 0 UF: 0 NX: 1
3fff_53ff_0000_08_57fe_1 // 1.999023 * 63.968750 = 127.875000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 3fff_7800_0000_08_7bff_0 // 1.999023 * 32768.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 0
// Skip inf: 3fff_7a00_0000_08_7bff_5 // 1.999023 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 3fff_7801_0000_08_7bff_5 // 1.999023 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 3fff_7bff_0000_08_7bff_5 // 1.999023 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
4000_0400_0000_08_0800_0 // 2.000000 * 0.000061 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
4000_0600_0000_08_0a00_0 // 2.000000 * 0.000092 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 0
4000_0401_0000_08_0801_0 // 2.000000 * 0.000061 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
4000_07ff_0000_08_0bff_0 // 2.000000 * 0.000122 = 0.000244 NV: 0 OF: 0 UF: 0 NX: 0
4000_3800_0000_08_3c00_0 // 2.000000 * 0.500000 = 1.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3a00_0000_08_3e00_0 // 2.000000 * 0.750000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3801_0000_08_3c01_0 // 2.000000 * 0.500488 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 0
4000_3bff_0000_08_3fff_0 // 2.000000 * 0.999512 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 0
4000_3c00_0000_08_4000_0 // 2.000000 * 1.000000 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3e00_0000_08_4200_0 // 2.000000 * 1.500000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_3c01_0000_08_4001_0 // 2.000000 * 1.000977 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 0
4000_3fff_0000_08_43ff_0 // 2.000000 * 1.999023 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 0
4000_4000_0000_08_4400_0 // 2.000000 * 2.000000 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_4200_0000_08_4600_0 // 2.000000 * 3.000000 = 6.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_4001_0000_08_4401_0 // 2.000000 * 2.001953 = 4.003906 NV: 0 OF: 0 UF: 0 NX: 0
4000_43ff_0000_08_47ff_0 // 2.000000 * 3.998047 = 7.996094 NV: 0 OF: 0 UF: 0 NX: 0
4000_5000_0000_08_5400_0 // 2.000000 * 32.000000 = 64.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_5200_0000_08_5600_0 // 2.000000 * 48.000000 = 96.000000 NV: 0 OF: 0 UF: 0 NX: 0
4000_5001_0000_08_5401_0 // 2.000000 * 32.031250 = 64.062500 NV: 0 OF: 0 UF: 0 NX: 0
4000_53ff_0000_08_57ff_0 // 2.000000 * 63.968750 = 127.937500 NV: 0 OF: 0 UF: 0 NX: 0
// Skip inf: 4000_7800_0000_08_7bff_5 // 2.000000 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 4000_7a00_0000_08_7bff_5 // 2.000000 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 4000_7801_0000_08_7bff_5 // 2.000000 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 4000_7bff_0000_08_7bff_5 // 2.000000 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
4200_0400_0000_08_0a00_0 // 3.000000 * 0.000061 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 0
4200_0600_0000_08_0c80_0 // 3.000000 * 0.000092 = 0.000275 NV: 0 OF: 0 UF: 0 NX: 0
4200_0401_0000_08_0a01_1 // 3.000000 * 0.000061 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 1
4200_07ff_0000_08_0dff_1 // 3.000000 * 0.000122 = 0.000366 NV: 0 OF: 0 UF: 0 NX: 1
4200_3800_0000_08_3e00_0 // 3.000000 * 0.500000 = 1.500000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3a00_0000_08_4080_0 // 3.000000 * 0.750000 = 2.250000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3801_0000_08_3e01_1 // 3.000000 * 0.500488 = 1.500977 NV: 0 OF: 0 UF: 0 NX: 1
4200_3bff_0000_08_41ff_1 // 3.000000 * 0.999512 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
4200_3c00_0000_08_4200_0 // 3.000000 * 1.000000 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3e00_0000_08_4480_0 // 3.000000 * 1.500000 = 4.500000 NV: 0 OF: 0 UF: 0 NX: 0
4200_3c01_0000_08_4201_1 // 3.000000 * 1.000977 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 1
4200_3fff_0000_08_45ff_1 // 3.000000 * 1.999023 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
4200_4000_0000_08_4600_0 // 3.000000 * 2.000000 = 6.000000 NV: 0 OF: 0 UF: 0 NX: 0
4200_4200_0000_08_4880_0 // 3.000000 * 3.000000 = 9.000000 NV: 0 OF: 0 UF: 0 NX: 0
4200_4001_0000_08_4601_1 // 3.000000 * 2.001953 = 6.003906 NV: 0 OF: 0 UF: 0 NX: 1
4200_43ff_0000_08_49ff_1 // 3.000000 * 3.998047 = 11.992188 NV: 0 OF: 0 UF: 0 NX: 1
4200_5000_0000_08_5600_0 // 3.000000 * 32.000000 = 96.000000 NV: 0 OF: 0 UF: 0 NX: 0
4200_5200_0000_08_5880_0 // 3.000000 * 48.000000 = 144.000000 NV: 0 OF: 0 UF: 0 NX: 0
4200_5001_0000_08_5601_1 // 3.000000 * 32.031250 = 96.062500 NV: 0 OF: 0 UF: 0 NX: 1
4200_53ff_0000_08_59ff_1 // 3.000000 * 63.968750 = 191.875000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 4200_7800_0000_08_7bff_5 // 3.000000 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 4200_7a00_0000_08_7bff_5 // 3.000000 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 4200_7801_0000_08_7bff_5 // 3.000000 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 4200_7bff_0000_08_7bff_5 // 3.000000 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
4001_0400_0000_08_0801_0 // 2.001953 * 0.000061 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 0
4001_0600_0000_08_0a01_1 // 2.001953 * 0.000092 = 0.000183 NV: 0 OF: 0 UF: 0 NX: 1
4001_0401_0000_08_0802_1 // 2.001953 * 0.000061 = 0.000122 NV: 0 OF: 0 UF: 0 NX: 1
4001_07ff_0000_08_0c00_1 // 2.001953 * 0.000122 = 0.000244 NV: 0 OF: 0 UF: 0 NX: 1
4001_3800_0000_08_3c01_0 // 2.001953 * 0.500000 = 1.000977 NV: 0 OF: 0 UF: 0 NX: 0
4001_3a00_0000_08_3e01_1 // 2.001953 * 0.750000 = 1.500977 NV: 0 OF: 0 UF: 0 NX: 1
4001_3801_0000_08_3c02_1 // 2.001953 * 0.500488 = 1.001953 NV: 0 OF: 0 UF: 0 NX: 1
4001_3bff_0000_08_4000_1 // 2.001953 * 0.999512 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c00_0000_08_4001_0 // 2.001953 * 1.000000 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 0
4001_3e00_0000_08_4201_1 // 2.001953 * 1.500000 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 1
4001_3c01_0000_08_4002_1 // 2.001953 * 1.000977 = 2.003906 NV: 0 OF: 0 UF: 0 NX: 1
4001_3fff_0000_08_4400_1 // 2.001953 * 1.999023 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_4000_0000_08_4401_0 // 2.001953 * 2.000000 = 4.003906 NV: 0 OF: 0 UF: 0 NX: 0
4001_4200_0000_08_4601_1 // 2.001953 * 3.000000 = 6.003906 NV: 0 OF: 0 UF: 0 NX: 1
4001_4001_0000_08_4402_1 // 2.001953 * 2.001953 = 4.007812 NV: 0 OF: 0 UF: 0 NX: 1
4001_43ff_0000_08_4800_1 // 2.001953 * 3.998047 = 8.000000 NV: 0 OF: 0 UF: 0 NX: 1
4001_5000_0000_08_5401_0 // 2.001953 * 32.000000 = 64.062500 NV: 0 OF: 0 UF: 0 NX: 0
4001_5200_0000_08_5601_1 // 2.001953 * 48.000000 = 96.062500 NV: 0 OF: 0 UF: 0 NX: 1
4001_5001_0000_08_5402_1 // 2.001953 * 32.031250 = 64.125000 NV: 0 OF: 0 UF: 0 NX: 1
4001_53ff_0000_08_5800_1 // 2.001953 * 63.968750 = 128.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 4001_7800_0000_08_7bff_5 // 2.001953 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 4001_7a00_0000_08_7bff_5 // 2.001953 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 4001_7801_0000_08_7bff_5 // 2.001953 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 4001_7bff_0000_08_7bff_5 // 2.001953 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
43ff_0400_0000_08_0bff_0 // 3.998047 * 0.000061 = 0.000244 NV: 0 OF: 0 UF: 0 NX: 0
43ff_0600_0000_08_0dff_1 // 3.998047 * 0.000092 = 0.000366 NV: 0 OF: 0 UF: 0 NX: 1
43ff_0401_0000_08_0c00_1 // 3.998047 * 0.000061 = 0.000244 NV: 0 OF: 0 UF: 0 NX: 1
43ff_07ff_0000_08_0ffe_1 // 3.998047 * 0.000122 = 0.000488 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3800_0000_08_3fff_0 // 3.998047 * 0.500000 = 1.999023 NV: 0 OF: 0 UF: 0 NX: 0
43ff_3a00_0000_08_41ff_1 // 3.998047 * 0.750000 = 2.998047 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3801_0000_08_4000_1 // 3.998047 * 0.500488 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3bff_0000_08_43fe_1 // 3.998047 * 0.999512 = 3.996094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c00_0000_08_43ff_0 // 3.998047 * 1.000000 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 0
43ff_3e00_0000_08_45ff_1 // 3.998047 * 1.500000 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3c01_0000_08_4400_1 // 3.998047 * 1.000977 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
43ff_3fff_0000_08_47fe_1 // 3.998047 * 1.999023 = 7.992188 NV: 0 OF: 0 UF: 0 NX: 1
43ff_4000_0000_08_47ff_0 // 3.998047 * 2.000000 = 7.996094 NV: 0 OF: 0 UF: 0 NX: 0
43ff_4200_0000_08_49ff_1 // 3.998047 * 3.000000 = 11.992188 NV: 0 OF: 0 UF: 0 NX: 1
43ff_4001_0000_08_4800_1 // 3.998047 * 2.001953 = 8.000000 NV: 0 OF: 0 UF: 0 NX: 1
43ff_43ff_0000_08_4bfe_1 // 3.998047 * 3.998047 = 15.984375 NV: 0 OF: 0 UF: 0 NX: 1
43ff_5000_0000_08_57ff_0 // 3.998047 * 32.000000 = 127.937500 NV: 0 OF: 0 UF: 0 NX: 0
43ff_5200_0000_08_59ff_1 // 3.998047 * 48.000000 = 191.875000 NV: 0 OF: 0 UF: 0 NX: 1
43ff_5001_0000_08_5800_1 // 3.998047 * 32.031250 = 128.000000 NV: 0 OF: 0 UF: 0 NX: 1
43ff_53ff_0000_08_5bfe_1 // 3.998047 * 63.968750 = 255.750000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 43ff_7800_0000_08_7bff_5 // 3.998047 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 43ff_7a00_0000_08_7bff_5 // 3.998047 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 43ff_7801_0000_08_7bff_5 // 3.998047 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 43ff_7bff_0000_08_7bff_5 // 3.998047 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
5000_0400_0000_08_1800_0 // 32.000000 * 0.000061 = 0.001953 NV: 0 OF: 0 UF: 0 NX: 0
5000_0600_0000_08_1a00_0 // 32.000000 * 0.000092 = 0.002930 NV: 0 OF: 0 UF: 0 NX: 0
5000_0401_0000_08_1801_0 // 32.000000 * 0.000061 = 0.001955 NV: 0 OF: 0 UF: 0 NX: 0
5000_07ff_0000_08_1bff_0 // 32.000000 * 0.000122 = 0.003904 NV: 0 OF: 0 UF: 0 NX: 0
5000_3800_0000_08_4c00_0 // 32.000000 * 0.500000 = 16.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3a00_0000_08_4e00_0 // 32.000000 * 0.750000 = 24.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3801_0000_08_4c01_0 // 32.000000 * 0.500488 = 16.015625 NV: 0 OF: 0 UF: 0 NX: 0
5000_3bff_0000_08_4fff_0 // 32.000000 * 0.999512 = 31.984375 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c00_0000_08_5000_0 // 32.000000 * 1.000000 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3e00_0000_08_5200_0 // 32.000000 * 1.500000 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_3c01_0000_08_5001_0 // 32.000000 * 1.000977 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 0
5000_3fff_0000_08_53ff_0 // 32.000000 * 1.999023 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 0
5000_4000_0000_08_5400_0 // 32.000000 * 2.000000 = 64.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_4200_0000_08_5600_0 // 32.000000 * 3.000000 = 96.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_4001_0000_08_5401_0 // 32.000000 * 2.001953 = 64.062500 NV: 0 OF: 0 UF: 0 NX: 0
5000_43ff_0000_08_57ff_0 // 32.000000 * 3.998047 = 127.937500 NV: 0 OF: 0 UF: 0 NX: 0
5000_5000_0000_08_6400_0 // 32.000000 * 32.000000 = 1024.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_5200_0000_08_6600_0 // 32.000000 * 48.000000 = 1536.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_5001_0000_08_6401_0 // 32.000000 * 32.031250 = 1025.000000 NV: 0 OF: 0 UF: 0 NX: 0
5000_53ff_0000_08_67ff_0 // 32.000000 * 63.968750 = 2047.000000 NV: 0 OF: 0 UF: 0 NX: 0
// Skip inf: 5000_7800_0000_08_7bff_5 // 32.000000 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 5000_7a00_0000_08_7bff_5 // 32.000000 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 5000_7801_0000_08_7bff_5 // 32.000000 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 5000_7bff_0000_08_7bff_5 // 32.000000 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
5200_0400_0000_08_1a00_0 // 48.000000 * 0.000061 = 0.002930 NV: 0 OF: 0 UF: 0 NX: 0
5200_0600_0000_08_1c80_0 // 48.000000 * 0.000092 = 0.004395 NV: 0 OF: 0 UF: 0 NX: 0
5200_0401_0000_08_1a01_1 // 48.000000 * 0.000061 = 0.002932 NV: 0 OF: 0 UF: 0 NX: 1
5200_07ff_0000_08_1dff_1 // 48.000000 * 0.000122 = 0.005856 NV: 0 OF: 0 UF: 0 NX: 1
5200_3800_0000_08_4e00_0 // 48.000000 * 0.500000 = 24.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3a00_0000_08_5080_0 // 48.000000 * 0.750000 = 36.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3801_0000_08_4e01_1 // 48.000000 * 0.500488 = 24.015625 NV: 0 OF: 0 UF: 0 NX: 1
5200_3bff_0000_08_51ff_1 // 48.000000 * 0.999512 = 47.968750 NV: 0 OF: 0 UF: 0 NX: 1
5200_3c00_0000_08_5200_0 // 48.000000 * 1.000000 = 48.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3e00_0000_08_5480_0 // 48.000000 * 1.500000 = 72.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_3c01_0000_08_5201_1 // 48.000000 * 1.000977 = 48.031250 NV: 0 OF: 0 UF: 0 NX: 1
5200_3fff_0000_08_55ff_1 // 48.000000 * 1.999023 = 95.937500 NV: 0 OF: 0 UF: 0 NX: 1
5200_4000_0000_08_5600_0 // 48.000000 * 2.000000 = 96.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_4200_0000_08_5880_0 // 48.000000 * 3.000000 = 144.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_4001_0000_08_5601_1 // 48.000000 * 2.001953 = 96.062500 NV: 0 OF: 0 UF: 0 NX: 1
5200_43ff_0000_08_59ff_1 // 48.000000 * 3.998047 = 191.875000 NV: 0 OF: 0 UF: 0 NX: 1
5200_5000_0000_08_6600_0 // 48.000000 * 32.000000 = 1536.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_5200_0000_08_6880_0 // 48.000000 * 48.000000 = 2304.000000 NV: 0 OF: 0 UF: 0 NX: 0
5200_5001_0000_08_6601_1 // 48.000000 * 32.031250 = 1537.000000 NV: 0 OF: 0 UF: 0 NX: 1
5200_53ff_0000_08_69ff_1 // 48.000000 * 63.968750 = 3070.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 5200_7800_0000_08_7bff_5 // 48.000000 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 5200_7a00_0000_08_7bff_5 // 48.000000 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 5200_7801_0000_08_7bff_5 // 48.000000 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 5200_7bff_0000_08_7bff_5 // 48.000000 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
5001_0400_0000_08_1801_0 // 32.031250 * 0.000061 = 0.001955 NV: 0 OF: 0 UF: 0 NX: 0
5001_0600_0000_08_1a01_1 // 32.031250 * 0.000092 = 0.002932 NV: 0 OF: 0 UF: 0 NX: 1
5001_0401_0000_08_1802_1 // 32.031250 * 0.000061 = 0.001957 NV: 0 OF: 0 UF: 0 NX: 1
5001_07ff_0000_08_1c00_1 // 32.031250 * 0.000122 = 0.003906 NV: 0 OF: 0 UF: 0 NX: 1
5001_3800_0000_08_4c01_0 // 32.031250 * 0.500000 = 16.015625 NV: 0 OF: 0 UF: 0 NX: 0
5001_3a00_0000_08_4e01_1 // 32.031250 * 0.750000 = 24.015625 NV: 0 OF: 0 UF: 0 NX: 1
5001_3801_0000_08_4c02_1 // 32.031250 * 0.500488 = 16.031250 NV: 0 OF: 0 UF: 0 NX: 1
5001_3bff_0000_08_5000_1 // 32.031250 * 0.999512 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c00_0000_08_5001_0 // 32.031250 * 1.000000 = 32.031250 NV: 0 OF: 0 UF: 0 NX: 0
5001_3e00_0000_08_5201_1 // 32.031250 * 1.500000 = 48.031250 NV: 0 OF: 0 UF: 0 NX: 1
5001_3c01_0000_08_5002_1 // 32.031250 * 1.000977 = 32.062500 NV: 0 OF: 0 UF: 0 NX: 1
5001_3fff_0000_08_5400_1 // 32.031250 * 1.999023 = 64.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_4000_0000_08_5401_0 // 32.031250 * 2.000000 = 64.062500 NV: 0 OF: 0 UF: 0 NX: 0
5001_4200_0000_08_5601_1 // 32.031250 * 3.000000 = 96.062500 NV: 0 OF: 0 UF: 0 NX: 1
5001_4001_0000_08_5402_1 // 32.031250 * 2.001953 = 64.125000 NV: 0 OF: 0 UF: 0 NX: 1
5001_43ff_0000_08_5800_1 // 32.031250 * 3.998047 = 128.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_5000_0000_08_6401_0 // 32.031250 * 32.000000 = 1025.000000 NV: 0 OF: 0 UF: 0 NX: 0
5001_5200_0000_08_6601_1 // 32.031250 * 48.000000 = 1537.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_5001_0000_08_6402_1 // 32.031250 * 32.031250 = 1026.000000 NV: 0 OF: 0 UF: 0 NX: 1
5001_53ff_0000_08_6800_1 // 32.031250 * 63.968750 = 2048.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 5001_7800_0000_08_7bff_5 // 32.031250 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 5001_7a00_0000_08_7bff_5 // 32.031250 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 5001_7801_0000_08_7bff_5 // 32.031250 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 5001_7bff_0000_08_7bff_5 // 32.031250 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
53ff_0400_0000_08_1bff_0 // 63.968750 * 0.000061 = 0.003904 NV: 0 OF: 0 UF: 0 NX: 0
53ff_0600_0000_08_1dff_1 // 63.968750 * 0.000092 = 0.005856 NV: 0 OF: 0 UF: 0 NX: 1
53ff_0401_0000_08_1c00_1 // 63.968750 * 0.000061 = 0.003906 NV: 0 OF: 0 UF: 0 NX: 1
53ff_07ff_0000_08_1ffe_1 // 63.968750 * 0.000122 = 0.007805 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3800_0000_08_4fff_0 // 63.968750 * 0.500000 = 31.984375 NV: 0 OF: 0 UF: 0 NX: 0
53ff_3a00_0000_08_51ff_1 // 63.968750 * 0.750000 = 47.968750 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3801_0000_08_5000_1 // 63.968750 * 0.500488 = 32.000000 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3bff_0000_08_53fe_1 // 63.968750 * 0.999512 = 63.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c00_0000_08_53ff_0 // 63.968750 * 1.000000 = 63.968750 NV: 0 OF: 0 UF: 0 NX: 0
53ff_3e00_0000_08_55ff_1 // 63.968750 * 1.500000 = 95.937500 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3c01_0000_08_5400_1 // 63.968750 * 1.000977 = 64.000000 NV: 0 OF: 0 UF: 0 NX: 1
53ff_3fff_0000_08_57fe_1 // 63.968750 * 1.999023 = 127.875000 NV: 0 OF: 0 UF: 0 NX: 1
53ff_4000_0000_08_57ff_0 // 63.968750 * 2.000000 = 127.937500 NV: 0 OF: 0 UF: 0 NX: 0
53ff_4200_0000_08_59ff_1 // 63.968750 * 3.000000 = 191.875000 NV: 0 OF: 0 UF: 0 NX: 1
53ff_4001_0000_08_5800_1 // 63.968750 * 2.001953 = 128.000000 NV: 0 OF: 0 UF: 0 NX: 1
53ff_43ff_0000_08_5bfe_1 // 63.968750 * 3.998047 = 255.750000 NV: 0 OF: 0 UF: 0 NX: 1
53ff_5000_0000_08_67ff_0 // 63.968750 * 32.000000 = 2047.000000 NV: 0 OF: 0 UF: 0 NX: 0
53ff_5200_0000_08_69ff_1 // 63.968750 * 48.000000 = 3070.000000 NV: 0 OF: 0 UF: 0 NX: 1
53ff_5001_0000_08_6800_1 // 63.968750 * 32.031250 = 2048.000000 NV: 0 OF: 0 UF: 0 NX: 1
53ff_53ff_0000_08_6bfe_1 // 63.968750 * 63.968750 = 4092.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 53ff_7800_0000_08_7bff_5 // 63.968750 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 53ff_7a00_0000_08_7bff_5 // 63.968750 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 53ff_7801_0000_08_7bff_5 // 63.968750 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 53ff_7bff_0000_08_7bff_5 // 63.968750 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
7800_0400_0000_08_4000_0 // 32768.000000 * 0.000061 = 2.000000 NV: 0 OF: 0 UF: 0 NX: 0
7800_0600_0000_08_4200_0 // 32768.000000 * 0.000092 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
7800_0401_0000_08_4001_0 // 32768.000000 * 0.000061 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 0
7800_07ff_0000_08_43ff_0 // 32768.000000 * 0.000122 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 0
7800_3800_0000_08_7400_0 // 32768.000000 * 0.500000 = 16384.000000 NV: 0 OF: 0 UF: 0 NX: 0
7800_3a00_0000_08_7600_0 // 32768.000000 * 0.750000 = 24576.000000 NV: 0 OF: 0 UF: 0 NX: 0
7800_3801_0000_08_7401_0 // 32768.000000 * 0.500488 = 16400.000000 NV: 0 OF: 0 UF: 0 NX: 0
7800_3bff_0000_08_77ff_0 // 32768.000000 * 0.999512 = 32752.000000 NV: 0 OF: 0 UF: 0 NX: 0
7800_3c00_0000_08_7800_0 // 32768.000000 * 1.000000 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 0
7800_3e00_0000_08_7a00_0 // 32768.000000 * 1.500000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 0
7800_3c01_0000_08_7801_0 // 32768.000000 * 1.000977 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 0
// Skip inf: 7800_3fff_0000_08_7bff_0 // 32768.000000 * 1.999023 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 0
// Skip inf: 7800_4000_0000_08_7bff_5 // 32768.000000 * 2.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_4200_0000_08_7bff_5 // 32768.000000 * 3.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_4001_0000_08_7bff_5 // 32768.000000 * 2.001953 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_43ff_0000_08_7bff_5 // 32768.000000 * 3.998047 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_5000_0000_08_7bff_5 // 32768.000000 * 32.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_5200_0000_08_7bff_5 // 32768.000000 * 48.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_5001_0000_08_7bff_5 // 32768.000000 * 32.031250 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_53ff_0000_08_7bff_5 // 32768.000000 * 63.968750 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_7800_0000_08_7bff_5 // 32768.000000 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_7a00_0000_08_7bff_5 // 32768.000000 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_7801_0000_08_7bff_5 // 32768.000000 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7800_7bff_0000_08_7bff_5 // 32768.000000 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
7a00_0400_0000_08_4200_0 // 49152.000000 * 0.000061 = 3.000000 NV: 0 OF: 0 UF: 0 NX: 0
7a00_0600_0000_08_4480_0 // 49152.000000 * 0.000092 = 4.500000 NV: 0 OF: 0 UF: 0 NX: 0
7a00_0401_0000_08_4201_1 // 49152.000000 * 0.000061 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 1
7a00_07ff_0000_08_45ff_1 // 49152.000000 * 0.000122 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3800_0000_08_7600_0 // 49152.000000 * 0.500000 = 24576.000000 NV: 0 OF: 0 UF: 0 NX: 0
7a00_3a00_0000_08_7880_0 // 49152.000000 * 0.750000 = 36864.000000 NV: 0 OF: 0 UF: 0 NX: 0
7a00_3801_0000_08_7601_1 // 49152.000000 * 0.500488 = 24592.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3bff_0000_08_79ff_1 // 49152.000000 * 0.999512 = 49120.000000 NV: 0 OF: 0 UF: 0 NX: 1
7a00_3c00_0000_08_7a00_0 // 49152.000000 * 1.000000 = 49152.000000 NV: 0 OF: 0 UF: 0 NX: 0
// Skip inf: 7a00_3e00_0000_08_7bff_5 // 49152.000000 * 1.500000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
7a00_3c01_0000_08_7a01_1 // 49152.000000 * 1.000977 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7a00_3fff_0000_08_7bff_5 // 49152.000000 * 1.999023 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_4000_0000_08_7bff_5 // 49152.000000 * 2.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_4200_0000_08_7bff_5 // 49152.000000 * 3.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_4001_0000_08_7bff_5 // 49152.000000 * 2.001953 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_43ff_0000_08_7bff_5 // 49152.000000 * 3.998047 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_5000_0000_08_7bff_5 // 49152.000000 * 32.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_5200_0000_08_7bff_5 // 49152.000000 * 48.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_5001_0000_08_7bff_5 // 49152.000000 * 32.031250 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_53ff_0000_08_7bff_5 // 49152.000000 * 63.968750 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_7800_0000_08_7bff_5 // 49152.000000 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_7a00_0000_08_7bff_5 // 49152.000000 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_7801_0000_08_7bff_5 // 49152.000000 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7a00_7bff_0000_08_7bff_5 // 49152.000000 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
7801_0400_0000_08_4001_0 // 32800.000000 * 0.000061 = 2.001953 NV: 0 OF: 0 UF: 0 NX: 0
7801_0600_0000_08_4201_1 // 32800.000000 * 0.000092 = 3.001953 NV: 0 OF: 0 UF: 0 NX: 1
7801_0401_0000_08_4002_1 // 32800.000000 * 0.000061 = 2.003906 NV: 0 OF: 0 UF: 0 NX: 1
7801_07ff_0000_08_4400_1 // 32800.000000 * 0.000122 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3800_0000_08_7401_0 // 32800.000000 * 0.500000 = 16400.000000 NV: 0 OF: 0 UF: 0 NX: 0
7801_3a00_0000_08_7601_1 // 32800.000000 * 0.750000 = 24592.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3801_0000_08_7402_1 // 32800.000000 * 0.500488 = 16416.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3bff_0000_08_7800_1 // 32800.000000 * 0.999512 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c00_0000_08_7801_0 // 32800.000000 * 1.000000 = 32800.000000 NV: 0 OF: 0 UF: 0 NX: 0
7801_3e00_0000_08_7a01_1 // 32800.000000 * 1.500000 = 49184.000000 NV: 0 OF: 0 UF: 0 NX: 1
7801_3c01_0000_08_7802_1 // 32800.000000 * 1.000977 = 32832.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7801_3fff_0000_08_7bff_5 // 32800.000000 * 1.999023 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_4000_0000_08_7bff_5 // 32800.000000 * 2.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_4200_0000_08_7bff_5 // 32800.000000 * 3.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_4001_0000_08_7bff_5 // 32800.000000 * 2.001953 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_43ff_0000_08_7bff_5 // 32800.000000 * 3.998047 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_5000_0000_08_7bff_5 // 32800.000000 * 32.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_5200_0000_08_7bff_5 // 32800.000000 * 48.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_5001_0000_08_7bff_5 // 32800.000000 * 32.031250 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_53ff_0000_08_7bff_5 // 32800.000000 * 63.968750 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_7800_0000_08_7bff_5 // 32800.000000 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_7a00_0000_08_7bff_5 // 32800.000000 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_7801_0000_08_7bff_5 // 32800.000000 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7801_7bff_0000_08_7bff_5 // 32800.000000 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
7bff_0400_0000_08_43ff_0 // 65504.000000 * 0.000061 = 3.998047 NV: 0 OF: 0 UF: 0 NX: 0
7bff_0600_0000_08_45ff_1 // 65504.000000 * 0.000092 = 5.996094 NV: 0 OF: 0 UF: 0 NX: 1
7bff_0401_0000_08_4400_1 // 65504.000000 * 0.000061 = 4.000000 NV: 0 OF: 0 UF: 0 NX: 1
7bff_07ff_0000_08_47fe_1 // 65504.000000 * 0.000122 = 7.992188 NV: 0 OF: 0 UF: 0 NX: 1
7bff_3800_0000_08_77ff_0 // 65504.000000 * 0.500000 = 32752.000000 NV: 0 OF: 0 UF: 0 NX: 0
7bff_3a00_0000_08_79ff_1 // 65504.000000 * 0.750000 = 49120.000000 NV: 0 OF: 0 UF: 0 NX: 1
7bff_3801_0000_08_7800_1 // 65504.000000 * 0.500488 = 32768.000000 NV: 0 OF: 0 UF: 0 NX: 1
7bff_3bff_0000_08_7bfe_1 // 65504.000000 * 0.999512 = 65472.000000 NV: 0 OF: 0 UF: 0 NX: 1
// Skip inf: 7bff_3c00_0000_08_7bff_0 // 65504.000000 * 1.000000 = 65504.000000 NV: 0 OF: 0 UF: 0 NX: 0
// Skip inf: 7bff_3e00_0000_08_7bff_5 // 65504.000000 * 1.500000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_3c01_0000_08_7bff_5 // 65504.000000 * 1.000977 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_3fff_0000_08_7bff_5 // 65504.000000 * 1.999023 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_4000_0000_08_7bff_5 // 65504.000000 * 2.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_4200_0000_08_7bff_5 // 65504.000000 * 3.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_4001_0000_08_7bff_5 // 65504.000000 * 2.001953 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_43ff_0000_08_7bff_5 // 65504.000000 * 3.998047 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_5000_0000_08_7bff_5 // 65504.000000 * 32.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_5200_0000_08_7bff_5 // 65504.000000 * 48.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_5001_0000_08_7bff_5 // 65504.000000 * 32.031250 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_53ff_0000_08_7bff_5 // 65504.000000 * 63.968750 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_7800_0000_08_7bff_5 // 65504.000000 * 32768.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_7a00_0000_08_7bff_5 // 65504.000000 * 49152.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_7801_0000_08_7bff_5 // 65504.000000 * 32800.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1
// Skip inf: 7bff_7bff_0000_08_7bff_5 // 65504.000000 * 65504.000000 = 65504.000000 NV: 0 OF: 1 UF: 0 NX: 1

File diff suppressed because it is too large Load diff