Prefetcher now tells the core when it is safe to shut down

This commit is contained in:
Andreas Traber 2015-09-24 16:32:17 +02:00
parent 4571bc30ae
commit 1aa8b78a73
2 changed files with 3 additions and 1 deletions

View file

@ -177,6 +177,8 @@ module id_stage
logic jr_stall;
logic load_stall;
logic halt_id;
// Immediate decoding and sign extension
logic [31:0] imm_i_type;

View file

@ -68,7 +68,7 @@ module prefetch_L0_buffer
logic is_prefetch_q, is_prefetch_n;
assign busy_o = (CS != EMPTY);
assign busy_o = (CS != EMPTY && CS != VALID_L0) || instr_req_o;
always_ff @(posedge clk or negedge rst_n)