mirror of
https://github.com/stnolting/neorv32.git
synced 2025-04-24 06:07:52 -04:00
[rtlsystem_integration] remove mtime ports
This commit is contained in:
parent
639422a53b
commit
8e9286a217
3 changed files with 4 additions and 27 deletions
|
@ -3,7 +3,7 @@
|
|||
-- # ********************************************************************************************* #
|
||||
-- # BSD 3-Clause License #
|
||||
-- # #
|
||||
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved. #
|
||||
-- # Copyright (c) 2023, Stephan Nolting. All rights reserved. #
|
||||
-- # #
|
||||
-- # Redistribution and use in source and binary forms, with or without modification, are #
|
||||
-- # permitted provided that the following conditions are met: #
|
||||
|
@ -191,10 +191,7 @@ entity neorv32_ProcessorTop_stdlogic is
|
|||
cfs_in_i : in std_logic_vector(IO_CFS_IN_SIZE-1 downto 0); -- custom inputs
|
||||
cfs_out_o : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs
|
||||
-- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
|
||||
neoled_o : out std_logic; -- async serial data line
|
||||
-- System time --
|
||||
mtime_i : in std_logic_vector(63 downto 0) := (others => '0'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
|
||||
mtime_o : out std_logic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
|
||||
neoled_o : out std_logic; -- async serial data line´
|
||||
-- External platform interrupts (available if XIRQ_NUM_CH > 0) --
|
||||
xirq_i : in std_logic_vector(31 downto 0) := (others => '0'); -- IRQ channels
|
||||
-- CPU Interrupts --
|
||||
|
@ -274,9 +271,6 @@ architecture neorv32_ProcessorTop_stdlogic_rtl of neorv32_ProcessorTop_stdlogic
|
|||
--
|
||||
signal neoled_o_int : std_ulogic;
|
||||
--
|
||||
signal mtime_i_int : std_ulogic_vector(63 downto 0);
|
||||
signal mtime_o_int : std_ulogic_vector(63 downto 0);
|
||||
--
|
||||
signal xirq_i_int : std_ulogic_vector(31 downto 0);
|
||||
--
|
||||
signal mtime_irq_i_int : std_ulogic;
|
||||
|
@ -440,9 +434,6 @@ begin
|
|||
cfs_out_o => cfs_out_o_int, -- custom outputs
|
||||
-- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
|
||||
neoled_o => neoled_o_int, -- async serial data line
|
||||
-- System time --
|
||||
mtime_i => mtime_i_int, -- current system time from ext. MTIME (if IO_MTIME_EN = false)
|
||||
mtime_o => mtime_o_int, -- current system time from int. MTIME (if IO_MTIME_EN = true)
|
||||
-- External platform interrupts (available if XIRQ_NUM_CH > 0) --
|
||||
xirq_i => xirq_i_int, -- IRQ channels
|
||||
-- CPU Interrupts --
|
||||
|
@ -517,9 +508,6 @@ begin
|
|||
|
||||
neoled_o <= std_logic(neoled_o_int);
|
||||
|
||||
mtime_i_int <= std_ulogic_vector(mtime_i);
|
||||
mtime_o <= std_logic_vector(mtime_o_int);
|
||||
|
||||
xirq_i_int <= std_ulogic_vector(xirq_i);
|
||||
|
||||
msw_irq_i_int <= std_ulogic(msw_irq_i);
|
||||
|
|
|
@ -6,7 +6,7 @@
|
|||
-- # ********************************************************************************************* #
|
||||
-- # BSD 3-Clause License #
|
||||
-- # #
|
||||
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved. #
|
||||
-- # Copyright (c) 2023, Stephan Nolting. All rights reserved. #
|
||||
-- # #
|
||||
-- # Redistribution and use in source and binary forms, with or without modification, are #
|
||||
-- # permitted provided that the following conditions are met: #
|
||||
|
@ -213,10 +213,6 @@ entity neorv32_top_avalonmm is
|
|||
-- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
|
||||
neoled_o : out std_ulogic; -- async serial data line
|
||||
|
||||
-- System time --
|
||||
mtime_i : in std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
|
||||
mtime_o : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
|
||||
|
||||
-- External platform interrupts (available if XIRQ_NUM_CH > 0) --
|
||||
xirq_i : in std_ulogic_vector(31 downto 0) := (others => 'L'); -- IRQ channels
|
||||
|
||||
|
@ -425,10 +421,6 @@ begin
|
|||
-- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
|
||||
neoled_o => neoled_o,
|
||||
|
||||
-- System time --
|
||||
mtime_i => mtime_i,
|
||||
mtime_o => mtime_o,
|
||||
|
||||
-- External platform interrupts (available if XIRQ_NUM_CH > 0) --
|
||||
xirq_i => xirq_i,
|
||||
|
||||
|
|
|
@ -6,7 +6,7 @@
|
|||
-- # ********************************************************************************************* #
|
||||
-- # BSD 3-Clause License #
|
||||
-- # #
|
||||
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved. #
|
||||
-- # Copyright (c) 2023, Stephan Nolting. All rights reserved. #
|
||||
-- # #
|
||||
-- # Redistribution and use in source and binary forms, with or without modification, are #
|
||||
-- # permitted provided that the following conditions are met: #
|
||||
|
@ -423,9 +423,6 @@ begin
|
|||
cfs_out_o => cfs_out_o_int, -- custom outputs
|
||||
-- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
|
||||
neoled_o => neoled_o_int, -- async serial data line
|
||||
-- System time --
|
||||
mtime_i => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false)
|
||||
mtime_o => open, -- current system time from int. MTIME (if IO_MTIME_EN = true)
|
||||
-- External platform interrupts (available if XIRQ_NUM_CH > 0) --
|
||||
xirq_i => xirq_i_int, -- IRQ channels
|
||||
-- CPU Interrupts --
|
||||
|
|
Loading…
Add table
Add a link
Reference in a new issue