mirror of
https://github.com/stnolting/neorv32.git
synced 2025-04-19 11:55:05 -04:00
[test_setups] update GPIO port sizes
This commit is contained in:
parent
87a78de173
commit
d043b71b56
3 changed files with 9 additions and 9 deletions
|
@ -3,7 +3,7 @@
|
|||
-- -------------------------------------------------------------------------------- --
|
||||
-- The NEORV32 RISC-V Processor - https://github.com/stnolting/neorv32 --
|
||||
-- Copyright (c) NEORV32 contributors. --
|
||||
-- Copyright (c) 2020 - 2024 Stephan Nolting. All rights reserved. --
|
||||
-- Copyright (c) 2020 - 2025 Stephan Nolting. All rights reserved. --
|
||||
-- Licensed under the BSD-3-Clause license, see LICENSE for details. --
|
||||
-- SPDX-License-Identifier: BSD-3-Clause --
|
||||
-- ================================================================================ --
|
||||
|
@ -33,7 +33,7 @@ end entity;
|
|||
|
||||
architecture neorv32_test_setup_approm_rtl of neorv32_test_setup_approm is
|
||||
|
||||
signal con_gpio_out : std_ulogic_vector(63 downto 0);
|
||||
signal con_gpio_out : std_ulogic_vector(31 downto 0);
|
||||
|
||||
begin
|
||||
|
||||
|
@ -56,7 +56,7 @@ begin
|
|||
MEM_INT_DMEM_EN => true, -- implement processor-internal data memory
|
||||
MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes
|
||||
-- Processor peripherals --
|
||||
IO_GPIO_NUM => 8, -- number of GPIO input/output pairs (0..64)
|
||||
IO_GPIO_NUM => 8, -- number of GPIO input/output pairs (0..32)
|
||||
IO_CLINT_EN => true -- implement core local interruptor (CLINT)?
|
||||
)
|
||||
port map (
|
||||
|
|
|
@ -3,7 +3,7 @@
|
|||
-- -------------------------------------------------------------------------------- --
|
||||
-- The NEORV32 RISC-V Processor - https://github.com/stnolting/neorv32 --
|
||||
-- Copyright (c) NEORV32 contributors. --
|
||||
-- Copyright (c) 2020 - 2024 Stephan Nolting. All rights reserved. --
|
||||
-- Copyright (c) 2020 - 2025 Stephan Nolting. All rights reserved. --
|
||||
-- Licensed under the BSD-3-Clause license, see LICENSE for details. --
|
||||
-- SPDX-License-Identifier: BSD-3-Clause --
|
||||
-- ================================================================================ --
|
||||
|
@ -36,7 +36,7 @@ end entity;
|
|||
|
||||
architecture neorv32_test_setup_bootloader_rtl of neorv32_test_setup_bootloader is
|
||||
|
||||
signal con_gpio_out : std_ulogic_vector(63 downto 0);
|
||||
signal con_gpio_out : std_ulogic_vector(31 downto 0);
|
||||
|
||||
begin
|
||||
|
||||
|
@ -59,7 +59,7 @@ begin
|
|||
MEM_INT_DMEM_EN => true, -- implement processor-internal data memory
|
||||
MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes
|
||||
-- Processor peripherals --
|
||||
IO_GPIO_NUM => 8, -- number of GPIO input/output pairs (0..64)
|
||||
IO_GPIO_NUM => 8, -- number of GPIO input/output pairs (0..32)
|
||||
IO_CLINT_EN => true, -- implement core local interruptor (CLINT)?
|
||||
IO_UART0_EN => true -- implement primary universal asynchronous receiver/transmitter (UART0)?
|
||||
)
|
||||
|
|
|
@ -3,7 +3,7 @@
|
|||
-- -------------------------------------------------------------------------------- --
|
||||
-- The NEORV32 RISC-V Processor - https://github.com/stnolting/neorv32 --
|
||||
-- Copyright (c) NEORV32 contributors. --
|
||||
-- Copyright (c) 2020 - 2024 Stephan Nolting. All rights reserved. --
|
||||
-- Copyright (c) 2020 - 2025 Stephan Nolting. All rights reserved. --
|
||||
-- Licensed under the BSD-3-Clause license, see LICENSE for details. --
|
||||
-- SPDX-License-Identifier: BSD-3-Clause --
|
||||
-- ================================================================================ --
|
||||
|
@ -41,7 +41,7 @@ end entity;
|
|||
|
||||
architecture neorv32_test_setup_on_chip_debugger_rtl of neorv32_test_setup_on_chip_debugger is
|
||||
|
||||
signal con_gpio_out : std_ulogic_vector(63 downto 0);
|
||||
signal con_gpio_out : std_ulogic_vector(31 downto 0);
|
||||
|
||||
begin
|
||||
|
||||
|
@ -67,7 +67,7 @@ begin
|
|||
MEM_INT_DMEM_EN => true, -- implement processor-internal data memory
|
||||
MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes
|
||||
-- Processor peripherals --
|
||||
IO_GPIO_NUM => 8, -- number of GPIO input/output pairs (0..64)
|
||||
IO_GPIO_NUM => 8, -- number of GPIO input/output pairs (0..32)
|
||||
IO_CLINT_EN => true, -- implement core local interruptor (CLINT)?
|
||||
IO_UART0_EN => true -- implement primary universal asynchronous receiver/transmitter (UART0)?
|
||||
)
|
||||
|
|
Loading…
Add table
Reference in a new issue