add arty_a7_35t support

This commit is contained in:
Gwenhael Goavec-Merou 2019-11-10 17:39:17 +01:00 committed by Olof Kindgren
parent d90030b955
commit 61c8a6b886
3 changed files with 32 additions and 1 deletions

View file

@ -70,7 +70,7 @@ Run the compliance tests
## Run on hardware
Only supported so far is a single threaded Zephyr hello world example on the icebreaker and tinyFPGA BX boards. Some
Only supported so far is a single threaded Zephyr hello world example on the icebreaker tinyFPGA BX and arty A7 35T boards. Some
packages should be installed before running it (and shoud be accessible in your PATH variable):
- [icestorm](https://github.com/cliffordwolf/icestorm).
- [nextpnr](https://github.com/YosysHQ/nextpnr).
@ -102,6 +102,14 @@ Pin 9 is used for UART output with 57600 baud rate.
cd $SERV/workspace
fusesoc run --target=icebreaker servant
### Arty A7 35T
Pin D10 (uart_rxd_out) is used for UART output with 57600 baud rate (to use
blinky.hex change D10 to H5 (led[4]) in data/arty_a7_35t.xdc).
cd $SERV/workspace
fusesoc run --target=arty_a7_35t servant
## Other targets
The above targets are run on the servant SoC, but there are some targets defined for the CPU itself. Verilator can be run in lint mode to check for design problems by running

10
data/arty_a7_35t.xdc Normal file
View file

@ -0,0 +1,10 @@
set_property -dict {PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports i_clk];
set_property -dict {PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports q]
#set_property -dict {PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports q]
set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports i_clk];

View file

@ -38,6 +38,11 @@ filesets:
- servant/servix_clock_gen.v : {file_type : verilogSource}
- servant/servix.v : {file_type : verilogSource}
- data/nexys_a7.xdc : {file_type : xdc}
arty_a7_35t:
files:
- servant/servix_clock_gen.v : {file_type : verilogSource}
- servant/servix.v : {file_type : verilogSource}
- data/arty_a7_35t.xdc : {file_type : xdc}
targets:
default:
filesets : [soc]
@ -80,6 +85,14 @@ targets:
vivado: {part : xc7a100tcsg324-1}
toplevel : servix
arty_a7_35t:
default_tool: vivado
filesets : [mem_files, soc, arty_a7_35t]
parameters : [memfile, memsize, frequency=16]
tools:
vivado: {part : xc7a35ticsg324-1L}
toplevel : servix
sim:
default_tool: icarus
filesets : [soc, servant_tb]