Generate VCD with ModelSim

This commit is contained in:
felsabbagh3 2019-10-26 19:35:21 -04:00
parent 6fda88b68f
commit 372c81d90c
2 changed files with 22 additions and 11 deletions

View file

@ -91,7 +91,17 @@ SRC = \
CMD= \
-do "vcd file vortex.vcd; \
run"
vcd add -r vortex_tb; \
run; \
quit -f"
OPT=-sv -sv12compat
LIB = vortex_lib
# LOG=-logfile vortex_tb.log
LOG=
# ../shared_memory/VX_set_bit.v \
# ../cache/bank.v \
@ -112,11 +122,11 @@ run"
# vlib
comp:
vlog -sv -sv12compat -work vortex_lib $(SRC)
vlog $(OPT) -work $(LIB) $(SRC)
sim: comp
vsim vortex_tb -logfile vortex_tb.log -c -lib vortex_lib $(CMD)
vsim vortex_tb $(LOG) -c -lib $(LIB) $(CMD)

View file

@ -28,18 +28,19 @@ module vortex_tb (
integer temp;
initial begin
// $dumpfile("vortex2.vcd");
$dumpvars(0, vortex);
for (temp = 0; temp < 10; temp=temp+1)
begin
icache_response_instruction = 32'h0;
$display("SIMULATING");
if (temp == 0) begin
icache_response_instruction = 32'h00000513;
$display("Cycle 1");
end if (temp == 1) begin
$display("Cycle 2",);
icache_response_instruction = 32'h0005006b;
end
end
// while (!out_ebreak) begin
// icache_response_instruction = 0;
// end
end
Vortex vortex(