mirror of
https://github.com/vortexgpgpu/vortex.git
synced 2025-04-23 21:39:10 -04:00
minor update
This commit is contained in:
parent
6eeb8eac0f
commit
4f9b15d96d
2 changed files with 28 additions and 5 deletions
|
@ -11,6 +11,9 @@
|
|||
# See the License for the specific language governing permissions and
|
||||
# limitations under the License.
|
||||
|
||||
# Start time
|
||||
set start_time [clock seconds]
|
||||
|
||||
if { $::argc != 5 } {
|
||||
puts "ERROR: Program \"$::argv0\" requires 5 arguments!\n"
|
||||
puts "Usage: $::argv0 <top_module> <device_part> <vcs_file> <xdc_file> <tool_dir>\n"
|
||||
|
@ -77,6 +80,15 @@ write_checkpoint -force post_route.dcp
|
|||
report_route_status -file route.rpt
|
||||
|
||||
# Generate the synthesis report
|
||||
report_timing -file timing.rpt
|
||||
report_timing_summary -file timing.rpt
|
||||
report_power -file power.rpt
|
||||
report_drc -file drc.rpt
|
||||
report_drc -file drc.rpt
|
||||
|
||||
# End time and calculation
|
||||
set elapsed_time [expr {[clock seconds] - $start_time}]
|
||||
|
||||
# Display elapsed time
|
||||
set hours [format "%02d" [expr {$elapsed_time / 3600}]]
|
||||
set minutes [format "%02d" [expr {($elapsed_time % 3600) / 60}]]
|
||||
set seconds [format "%02d" [expr {$elapsed_time % 60}]]
|
||||
puts "Total elapsed time: ${hours}h ${minutes}m ${seconds}s"
|
|
@ -11,6 +11,9 @@
|
|||
# See the License for the specific language governing permissions and
|
||||
# limitations under the License.
|
||||
|
||||
# Start time
|
||||
set start_time [clock seconds]
|
||||
|
||||
if { $::argc != 3 } {
|
||||
puts "ERROR: Program \"$::argv0\" requires 3 arguments!\n"
|
||||
puts "Usage: $::argv0 <device_part> <vcs_file> <tool_dir>\n"
|
||||
|
@ -140,7 +143,6 @@ set_property -name "top_lib" -value "xil_defaultlib" -objects $obj
|
|||
set_property -name "verilog_define" -value "" -objects $obj
|
||||
set_property -name "verilog_uppercase" -value "0" -objects $obj
|
||||
|
||||
|
||||
# Set 'utils_1' fileset object
|
||||
set obj [get_filesets utils_1]
|
||||
# Empty (no sources present)
|
||||
|
@ -405,6 +407,15 @@ open_run impl_1
|
|||
report_utilization -file utilization.rpt -hierarchical -hierarchical_percentages
|
||||
report_place_status -file place.rpt
|
||||
report_route_status -file route.rpt
|
||||
report_timing -file timing.rpt
|
||||
report_timing_summary -file timing.rpt
|
||||
report_power -file power.rpt
|
||||
report_drc -file drc.rpt
|
||||
report_drc -file drc.rpt
|
||||
|
||||
# End time and calculation
|
||||
set elapsed_time [expr {[clock seconds] - $start_time}]
|
||||
|
||||
# Display elapsed time
|
||||
set hours [format "%02d" [expr {$elapsed_time / 3600}]]
|
||||
set minutes [format "%02d" [expr {($elapsed_time % 3600) / 60}]]
|
||||
set seconds [format "%02d" [expr {$elapsed_time % 60}]]
|
||||
puts "Total elapsed time: ${hours}h ${minutes}m ${seconds}s"
|
Loading…
Add table
Add a link
Reference in a new issue